• Nem Talált Eredményt

lt;0$&lt amp;$6;/ 7(&+1,&amp lt;0$<7

N/A
N/A
Protected

Academic year: 2022

Ossza meg " lt;0$&lt amp;$6;/ 7(&+1,&amp lt;0$<7"

Copied!
93
0
0

Teljes szövegt

(1)

7$%/(2)&217(176

$%287,6&$6,9

$%2877+(,(((&,5&8,76$1'6<67(0662&,(7<9 :(/&20(0(66$*(*(1(5$/&+$,569, :(/&20(0(66$*(7(&+1,&$/352*5$0&+$,569,,,

&21)(5(1&(&200,77((,;

&21)(5(1&(6833257 63216256;, .(<127(63($.(56;9 3,21((562)&$66(66,216;9,,, )8785(&$63$1(/;,;

&$6675$16$&7,2163$3(56;;

/,9('(021675$7,216;;

,2973$1(/;;, 3,7&+<28567$5783;;,,

&$66678'(17'(6,*1&203(7,7,21;;,, /$7(%5($.,1*1(:6;;,, 3267(56(66,216;;,,, 62&,$/352*5$0;;,9 ,%8.$$:$5';;9,,

&,5&8,76$1'6<67(0662&,(7<$:$5'5(&,3,(176;;9,,,

$%287%$/7,025($1'7+(9(18(;;;9, 78725,$/6±681'$<0$<7+;;;9,, ,6&$6;/

7(&+1,&$/6(66,216021'$<0$<7+;/,

&$66678'(17'(6,*1&203(7,7,21±021'$<0$<7+/;,, /,9('(021675$7,216±021'$<0$<7+/;,,, 3267(56(66,21±021'$<0$<7+/;9 7(&+1,&$/6(66,216±78(6'$<0$<7+/;;9, /,9('(021675$7,216±78(6'$<0$<7+;&9,, 3267(56(66,21±78(6'$<0$<7+;&,;

3,21((562)&$6±78(6'$<0$<7+&;, 7(&+1,&$/6(66,216±:('1(6'$<0$<67&;,,

(2)

džůŝ

&026ELR,QWHUIDFHV5HFHQW7UHQGV )XWXUH3HUVSHFWLYHV

7LPH0RQGD\0D\

5RRP'RYHU$

&KDLUV-HQV $QGHUV 8QLYHUVLWlW8OP'RQKHH +DP +DUYDUG8QLYHUVLW\

CMOS-Nano-Bio Interface Array for Cardiac and Neuro Technology1 -HIIUH\$EERWW7LDQ\DQJ<H/LQJ4LQ0DUVHOD-RUJROOL5RQD*HUWQHU'RQKHH+DP+RQJNXQ3DUN

+DUYDUG8QLYHUVLW\8QLWHG6WDWHV

CMOS Bioelectronics: Emerging Application in Molecular Diagnostics, Microbiology, and Neuroscience

NA

.HQQHWK6KHSDUG

&ROXPELD8QLYHUVLW\8QLWHG6WDWHV

Towards CMOS-Based in-Vivo NMR Spectroscopy and Microscopy2

-RQDV+DQGZHUNHU^`0DUORQ3pUH]5RGDV^`0DXULWV2UWPDQQV^`.ODXV6FKHIIOHU^`-HQV$QGHUV^`

^`0D[3ODQFN,QVWLWXWHIRU%LRORJLFDO&\EHUQHWLFV*HUPDQ\^`8QLYHUVLWlW8OP*HUPDQ\

Wide-Range Optical CMOS-Based Diagnostics 0RKDPPHG$O5DZKDQL%RRQ&KRQJ&KHDK&KULVWRV*LDJNRXORYLWV$EGX6KDNRRU%HQFH1DJ\-DPHV%HHOH\

'DYLG&XPPLQJ

8QLYHUVLW\RI*ODVJRZ8QLWHG.LQJGRP

INVITED: Technology Trends and Commercialization of High-Density Microelectrode Arrays for Advanced in-Vitro Electrophysiology

8UV)UH\^`0DULH(2ELHQ^`-DQ0OOHU^`$QGUHDV+LHUOHPDQQ^`

^`(LGJHQ|VVLVFKH7HFKQLVFKH+RFKVFKXOH=ULFK6ZLW]HUODQG^`0D[:HOO%LRV\VWHPV$*(LGJHQ|VVLVFKH 7HFKQLVFKH+RFKVFKXOH=ULFK6ZLW]HUODQG

1HXURPRUSKLF /HDUQLQJ&LUFXLWV 6\VWHPV

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,

&KDLUV6FRWW .R]LRO %D\ORU8QLYHUVLW\6KLK&KLL /LX 6ZLVV)HGHUDO,QVWLWXWHRI7HFKQRORJ\LQ=XULFK Oscillation-Based Slime Mould Electronic Circuit Model for Maze-Solving Computations

9DVLOHLRV1WLQDV^`*HRUJLRV&K6LUDNRXOLV^`,RDQQLV9RXUNDV^`$QGUHZ$GDPDW]N\^`

^`'HPRFULWXV8QLYHUVLW\RI7KUDFH*UHHFH^`8QLYHUVLW\RIWKH:HVWRI(QJODQG8QLWHG.LQJGRP

Randomized Unregulated Step Descent for Limited Precision Synaptic Elements /RUHQ]0OOHU0DQX1DLU*LDFRPR,QGLYHUL

8QLYHUVLWlW=ULFK(LGJHQ|VVLVFKH7HFKQLVFKH+RFKVFKXOH=ULFK6ZLW]HUODQG

(3)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

džůŝŝ

Ultra-Low-Energy Mixed-Signal IC Implementing Encoded Neural Networks

%HQRLW/DUUDV^`&\ULO/DKXHF^`)DEULFH6HJXLQ^`0DWWKLHX$U]HO^`

^`7(/(&20%UHWDJQH)UDQFH^`8QLYHUVLWp/LOOH8QLYHUVLWpGH9DOHQFLHQQHV)UDQFH A Fully-Synthesized 20-Gate Digital Spike-Based Synapse with Embedded Online Learning

&KDUORWWH)UHQNHO^`*LDFRPR,QGLYHUL^`-HDQ'LGLHU /HJDW^`'DYLG%RO^`

^`8QLYHUVLWlW=ULFK(LGJHQ|VVLVFKH7HFKQLVFKH+RFKVFKXOH=ULFK6ZLW]HUODQG^`8QLYHUVLWp&DWKROLTXHGH /RXYDLQ%HOJLXP

Learning in Silicon Beyond STDP: a Neuromorphic Implementation of Multi-Factor Synaptic Plasticity with Calcium-Based Dynamics

)UDQN0DOGRQDGR+XD\DQH\6WHSKHQ1HDVH(OLVDEHWWD&KLFFD 8QLYHUVLWlW%LHOHIHOG*HUPDQ\

&RPSXWLQJZLWK0HPRU\'HYLFHV

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,,

&KDLUV3LHUUH(PPDQXHO *DLOODUGRQ 8QLYHUVLW\RI8WDK'DQLHOH ,HOPLQL 3ROLWHFQLFRGL0LODQR Circuit Designs of High-Performance and Low-Power RRAM-Based Multiplexers Based on 4T(ransistor)1R(RAM) Programming Structure

;LIDQ7DQJ^`*LRYDQQL'H0LFKHOL^`(GRXDUG*LDFRPLQ^`3LHUUH(PPDQXHO*DLOODUGRQ^`

^`eFROH3RO\WHFKQLTXH)pGpUDOHGH/DXVDQQH6ZLW]HUODQG^`8QLYHUVLW\RI8WDK8QLWHG6WDWHV Neuromorphic Devices and Architectures for Next-Generation Cognitive Computing

*HRIIUH\:%XUU^`3ULWLVK1DUD\DQDQ^`5REHUW06KHOE\^`6WHIDQR$PEURJLR^`+VLQ\X7VDL^`6FRWW/

/HZLV^`.RKML+RVRNDZD^`

^`,%05HVHDUFK8QLWHG6WDWHV^`,%07-:DWVRQ5HVHDUFK&HQWHU8QLWHG6WDWHV^`,%07RN\R5HVHDUFK /DERUDWRU\-DSDQ

RM3 Based Logic Synthesis 0DWKLDV6RHNHQ^`3LHUUH(PPDQXHO*DLOODUGRQ^`*LRYDQQL'H0LFKHOL^`

^`eFROH3RO\WHFKQLTXH)pGpUDOHGH/DXVDQQH6ZLW]HUODQG^`8QLYHUVLW\RI8WDK8QLWHG6WDWHV

Local Memory and Logic Arrangement for Ultra-Low Power Array Processors

$UL3DDVLR

8QLYHUVLW\RI7XUNX)LQODQG

3LWFK<RXU6WDUWXS

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,,,

&KDLUV*HRII%DUURZV &HQWH\H*DEULHOH0DQJDQDUR± $QDORJ'HYLFHV

*Participants TBD ,QWHUIDFH&LUFXLWV

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,9

&KDLUV6KDKULDU 0LUDEEDVL 8QLYHUVLW\RI%ULWLVK&ROXPELD'HJDQJ &KHQ ,RZD6WDWH8QLYHUVLW\

A Novel 3-Tap Adaptive Feed Forward Equalizer for High Speed Wireline Receivers 5DJD/DV\D0XQDJDOD9LMD\8.

,QWHO7HFKQRORJ\,QGLD3YW/WG,QGLD

A 40 Gb/s 74.9 mW PAM4 Receiver with Novel Clock and Data Recovery /LDQJ[LDR7DQJ:HL[LQ*DL/LQTL6KL;LDR;LDQJ

3HNLQJ8QLYHUVLW\&KLQD

(4)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

džůŝŝŝ

Current Mode 1.2-Gbps SLVS Transceiver for Readout Front-End ASIC

+XJR+HUQDQGH]'LRQLVLR&DUYDOKR%UXQR6DQFKHV/XFDV&6HYHUR:LOKHOPXV9DQ1RLMH 8QLYHUVLGDGHGH6mR3DXOR%UD]LO

A 10-Bit Linearity Current-Controlled Ring Oscillator with Rolling Regulation for Smart Sensing 0LFKHOH'HL^`-RUGL6DFULVWiQ^`(ORL0DULJy^`0RKDQUDM6RXQGDUD^`/OXtV7HUpV^`)UDQFLVFR6HUUD

*UDHOOV^`

^`&RQVHMR6XSHULRUGH,QYHVWLJDFLRQHV&LHQWtILFDV6SDLQ^`6LOWHUUD0DOD\VLD6GQ%KG0DOD\VLD

A Low-Noise Fully-Differential Open-Loop Interface for High-G Capacitive Micro-Accelerometers with 112.2 dB Dynamic Range

0HQJ=KDR=KRQJMLDQ&KHQ=KDRIHQJ+XDQJ*XDQJ\L&KHQ:HQJDR/X<DFRQJ=KDQJ 3HNLQJ8QLYHUVLW\&KLQD

9LGHR5HFRUGLQJ6WUHDPLQJ6\QRSVLV(YDOXDWLRQ '

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP9,,

&KDLUV&KULV /HH 1DWLRQDO&KHQJ.XQJ8QLYHUVLW\

A Low-Power Video Recording System with Multiple Operation Modes for H.264 and Light-Weight Compression

+\XQ.LP^`+\XN-DH/HH^`&KDH(XQ5KHH^`

^`,QKD8QLYHUVLW\.RUHD6RXWK^`6HRXO1DWLRQDO8QLYHUVLW\.RUHD6RXWK

Peer-Assisted Video Streaming with RTMFP Flash Player: a Measurement Study on PPTV 6KDQ=KRX4LDQJ:DQJ-XQTLDQJ*H<H7LDQ

8QLYHUVLW\RI6FLHQFHDQG7HFKQRORJ\RI&KLQD&KLQD Multicamera Joint Video Synopsis

-LDQTLQJ=KX^`6KHQJFDL/LDR^`6WDQ=/L^`

^`+XDTLDR8QLYHUVLW\&KLQD^`,QVWLWXWHRI$XWRPDWLRQ&KLQHVH$FDGHP\RI6FLHQFHV&KLQD On Evaluating Perceptual Quality of Online User-Generated Videos

6RREHRP-DQJ-RQJ6HRN/HH

<RQVHL8QLYHUVLW\.RUHD6RXWK

,QWHUQHWRI9LGHR7KLQJV(QDEOLQJ7HFKQRORJLHV

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP9,,,

&KDLUV(GXDUG $ODUFRQ 8QLYHUVLWDW3ROLWqFQLFDGH&DWDOXQ\D<HQ.XDQJ &KHQ ,QWHO&RUSRUDWLRQ INVITED: 3D Machine Vision in IoT for Factory and Building Automation

:DL/HH

7H[DV,QVWUXPHQWV,QF8QLWHG6WDWHV

A 0.42V High Bandwidth Synthesizable Parallel Access Smart Memory Fabric for Computer Vision 3UDVKDQW'XEH\.ULWLND$GLW\D$QNXU6ULYDVWDYD$PLW.KDQXMD-DPLO.DZD7KX1JX\HQ

6<1236<6,QGLD3YW/WG,QGLD6<1236<6,QGLD3YW/WG8QLWHG6WDWHV

A Color Frame Reproduction Technique for IoT-Based Video Surveillance Application 5DVKHGXO+DVDQ6KDKHG.0RKDPPHG$OLPXO+DTXH.KDQ.KDQ$:DKLG

8QLYHUVLW\RI6DVNDWFKHZDQ&DQDGD

(5)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

džůŝǀ

Object-Based on-Line Video Summarization for Internet of Video Things 6KLK7LQJ/LQ^`<XDQ+VLQ/LDR^`<X7VDR^`6KDR<L&KLHQ^`

^`$FDGHPLD6LQLFD7DLZDQ^`1DWLRQDO7DLZDQ8QLYHUVLW\7DLZDQ

A 142MOPS/mW Integrated Programmable Array Accelerator for Smart Visual Processing 6DW\DMLW'DV^`'DYLGH5RVVL^`.HYLQ0DUWLQ^`3KLOLSSH&RXVV\^`/XFD%HQLQL^`

^`8QLYHUVLWjGL%RORJQD,WDO\^`8QLYHUVLWp%UHWDJQH6XG)UDQFH

%LRPHWULFV %LRPHGLFDO6LJQDO,PDJH3URFHVVLQJ&LUFXLWV 6\VWHPV,

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,;

&KDLUV=KLSLQJ /LQ 1DQ\DQJ7HFKQRORJLFDO8QLYHUVLW\'DQLOR 'HPDUFKL 3ROLWHFQLFRGL7RULQR Architecture for Complex Network Measures of Brain Connectivity

&KDQGUDMLW3DO^`'ZDLSD\DQ%LVZDV^`.RXVKLN0DKDUDWQD^`$PODQ&KDNUDEDUWL^`

^`,0(&%HOJLXP^`8QLYHUVLW\RI&DOFXWWD,QGLD^`8QLYHUVLW\RI6RXWKDPSWRQ8QLWHG.LQJGRP^`8QLYHUVLW\RI 6RXWKDPSWRQ8QLYHUVLW\RI&DOFXWWD8QLWHG.LQJGRP

Non-Invasive Blood Pressure Estimation Using Phonocardiogram

$PLUKRVVHLQ(VPDLOL'DVWMHUGL0RKDPPDG.DFKXHH0DKGL6KDEDQ\

6KDULI8QLYHUVLW\RI7HFKQRORJ\,UDQ

Towards an on-Chip Signal Processing Solution for the Online Calibration of SS-OCT Systems 2VFDU%DUDMDV$PLU 7RILJKL=DYDUHK6HEDVWLDQ+R\RV

7H[DV$ 08QLYHUVLW\8QLWHG6WDWHV

Automatic Endosomal Structure Detection and Localization in Fluorescence Microscopic Images 'RQJ\XQ/LQ^`=KLSLQJ/LQ^`5DPUDM9HOPXUXJDQ^`5DLPXQG2EHU^`

^`1DQ\DQJ7HFKQRORJLFDO8QLYHUVLW\6LQJDSRUH^`7H[DV$ 08QLYHUVLW\8QLWHG6WDWHV

LLC Encoded Bow Features and Softmax Regression for Microscopic ImageClassification 'RQJ\XQ/LQ^`=KLSLQJ/LQ^`/HL6XQ^`.DU$QQ7RK^`-LXZHQ&DR^`

^`%HLMLQJ,QVWLWXWHRI7HFKQRORJ\&KLQD^`+DQJ]KRX'LDQ]L8QLYHUVLW\&KLQD^`1DQ\DQJ7HFKQRORJLFDO 8QLYHUVLW\6LQJDSRUH^`<RQVHL8QLYHUVLW\.RUHD6RXWK

$'&&LUFXLW7HFKQLTXHV

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP;

&KDLUV-RVH 6LOYD0DUWLQH] 7H[DV$ 08QLYHUVLW\*HRUJH <XDQ +RQJ.RQJ8QLYHUVLW\RI6FLHQFHDQG 7HFKQRORJ\

A 200MS/s, 11 Bit SAR-Assisted Pipeline ADC with Bias-Enhanced Ring Amplifier

<RQJ]KHQ&KHQ-LQJMLQJ:DQJ+DQJ+X)DQ<H-XQ\DQ5HQ )XGDQ8QLYHUVLW\&KLQD

A 10-b Statistical ADC Employing Pipelining and Sub-Ranging in 32nm CMOS 6HQ7DR^`1DYHHQ 9HUPD^`5\DQ0&RUH\^`$QGUHZ&6LQJHU^`

^`3ULQFHWRQ8QLYHUVLW\8QLWHG6WDWHV^`8QLYHUVLW\RI,OOLQRLVDW8UEDQD&KDPSDLJQ8QLWHG6WDWHV

(6)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

džůǀ

Analog Bandwidth Mismatch Compensation for Time-Interleaved

$OH[DQGUH0DV^`(ULF$QGUH^`&DUROLQH/HODQGDLV3HUUDXOW^`)LOLSH9LQFL'RV6DQWRV^`3KLOLSSH%HQDEHV^`

^`&HQWUDOH6XSpOHF)UDQFH^`670LFURHOHFWURQLFV)UDQFH

Sampling Time Calibration Method for Multi-Channel Interleaved ADCs

$GULDQ/HXFLXF

&DGHQFH'HVLJQ6\VWHPV8QLWHG6WDWHV

A Power Minimized 74 fJ/Conversion-6WHSG%615,QFUHPHQWDOȈ¨$'&ZLWKDQ$V\QFKURQRXV6$5 Quantizer 6DTLE0RKDPDG^`:X&KDR^`-LH<XDQ^`$PLQH%HUPDN^`

^`+DPDG%LQ.KDOLID8QLYHUVLW\+RQJ.RQJ8QLYHUVLW\RI6FLHQFHDQG7HFKQRORJ\4DWDU^`+RQJ.RQJ 8QLYHUVLW\RI6FLHQFHDQG7HFKQRORJ\+RQJ.RQJ

:LUHOHVV&RPPXQLFDWLRQ5HFHLYHUVIRU*

7LPH0RQGD\0D\

5RRP/DXUHO$%

&KDLUV&KULVWRSK 6WXGHU 5LFH8QLYHUVLW\0LURVODY 9HOHY $ULHV'HVLJQ$XWRPDWLRQ

A Wideband Blocker-5HVLOLHQW'LUHFW¨Ȉ5HFHLYHUZLWK6HOHFWLYH,QSXW-Impedance Matching

)DL]DQ8O+DT^`0LNNR(QJOXQG^`.DUL6WDGLXV^`0DUNR.RVXQHQ^`-XVVL5\\QlQHQ^`.LPPR.ROL^`.LP%

gVWPDQ^`

^`$DOWR8QLYHUVLW\)LQODQG^`+XDZHL7HFKQRORJLHV2\&R/WG)LQODQG^`1RUGLF6HPLFRQGXFWRU)LQODQG An 1.1 V 0.1-1.6 GHz Tunable-Bandwidth Elliptic Filter with 6 dB Linearity Improvement by Precise Zero Location Control in 40 nm CMOS Technology for 5G Applications

&KLQJ'D:X^`-LDQ<X+VLHK^`&KXQ+DQ:X^`<DQJ6KHQJ&KHQJ^`&KXQ&KDQJ:X^`6KH\6KL/X^`

^`1DWLRQDO,ODQ8QLYHUVLW\7DLZDQ^`1DWLRQDO7DLZDQ8QLYHUVLW\7DLZDQ

Near-Field Dual-Use Antenna for Magnetic-Field Based Communication and Electrical-Field Based Distance Sensing in mm³-Class Sensor Node

5\R6KLUDL^`-LQ.RQR^`7HWVX\D+LURVH^`0DVDQRUL+DVKLPRWR^`

^`.REH8QLYHUVLW\-DSDQ^`2VDND8QLYHUVLW\-DSDQ

FPGA Design of Low-Complexity Joint Channel Estimation and Data Detection for Large SIMO Wireless Systems

2VFDU&DVWDxHGD^`7RP*ROGVWHLQ^`&KULVWRSK6WXGHU^`

^`&RUQHOO8QLYHUVLW\8QLWHG6WDWHV^`8QLYHUVLW\RI0DU\ODQG&ROOHJH3DUN8QLWHG6WDWHV

A Low-Noise Cartesian Error Feedback Architecture -LQER/L4XQ-DQH*X

8QLYHUVLW\RI&DOLIRUQLD'DYLV8QLWHG6WDWHV

0DQ\&RUH6\VWHPV

7LPH0RQGD\0D\

5RRP/DXUHO&'

&KDLUV9DVLO\ 0RVKQ\DJD )XNXRND8QLYHUVLW\'DQHOOD =KDR 8QLYHUVLW\RI/RXLVLDQDDW/DID\HWWH

Dark Silicon-Power-Thermal Aware Runtime Mapping and Configuration in Heterogeneous Many-Core NoC 0G)DUKDGXU5H]D^`'DQ=KDR^`0DJG\%D\RXPL^`

^`2OG'RPLQLRQ8QLYHUVLW\8QLWHG6WDWHV^`8QLYHUVLW\RI/RXLVLDQDDW/DID\HWWH8QLWHG6WDWHV

(7)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

džůǀŝ

Application Resource Management for Exploitation of Non-Volatile Memory in Many-Core Systems 6HWDUHK %HKURR]L,UDNOLV$QDJQRVWRSRXORV

6RXWKHUQ,OOLQRLV8QLYHUVLW\&DUERQGDOH8QLWHG6WDWHV

Activation of Secure Zones in Many-Core Systems with Dynamic Rerouting /XFLDQR&DLPL9LQLFLXV)RFKL(GXDUGR:DFKWHU'DQLHO0XQKR])HUQDQGR0RUDHV 3RQWLItFLD8QLYHUVLGDGH&DWyOLFDGR5LR*UDQGHGR6XO%UD]LO

Demystifying the Cost of Task Migration in Distributed Memory Many-Core Systems 0DUFHOR5XDUR)HUQDQGR0RUDHV

3RQWLItFLD8QLYHUVLGDGH&DWyOLFDGR5LR*UDQGHGR6XO%UD]LO

A Low Latency Feature Extraction Accelerator with Reduced Internal Memory 5RQJGL6XQ3HLOLQ/LX-XQ:DQJ=XQTXDQ=KRX

6KDQJKDL-LDR7RQJ8QLYHUVLW\&KLQD

$GYDQFHG9LGHR&RGLQJ 6WDQGDUGL]DWLRQ

7LPH0RQGD\0D\

5RRP.HQW$%

&KDLUV:HQ+VLDR 3HQJ 1DWLRQDO&KLDR7XQJ8QLYHUVLW\7RNXQER 2JXQIXQPL 6DQWD&ODUD8QLYHUVLW\

A Cam Enabled Fast Video Motion Estimation Based on Locality Sensitive Signatures 3DYHO$UQDXGRY'U7RNXQER2JXQIXQPL

6DQWD&ODUD8QLYHUVLW\8QLWHG6WDWHV

Fast Intra Coding Unit Size Decision for HEVC with GPU Based Keypoint Detection )DOHL/XR^`6KDQVKH:DQJ^`6LZHL0D^`1DQ=KDQJ^`<XQ=KRX^`:HQ*DR^`

^`$FDGHP\RI%URDGFDVWLQJ6FLHQFH&KLQD^`&DSWLWDO0HGLFDO8QLYHUVLW\&KLQD^`,QVWLWXWHRI&RPSXWLQJ 7HFKQRORJ\&KLQHVH$FDGHP\RI6FLHQFHV&KLQD^`3HNLQJ8QLYHUVLW\&KLQD

Depth-Projected Determination for Adaptive Search Range in Motion Estimation for HEVC 7V].ZDQ/HH<XL/DP&KDQ:DQ&KL6LX

+RQJ.RQJ3RO\WHFKQLF8QLYHUVLW\+RQJ.RQJ

Measurement-Domain Intra Prediction Framework for Compressively Sensed Images -LDQELQ=KRX'DMLDQJ=KRX/L*XR<RVKLPXUD7DNHVKL6DWRVKL*RWR

:DVHGD8QLYHUVLW\-DSDQ

A Low-Cost Approximate 32-Point Transform Architecture

+HPLQJ6XQ^`=KHQJ[XH&KHQJ^`$PLU0DVRXG*KDUHKEDJKL^`6KLQML.LPXUD^`0DVDKLUR)XMLWD^`

^`6KDQJKDL-LDR7RQJ8QLYHUVLW\&KLQD^`8QLYHUVLW\RI7RN\R-DSDQ^`:DVHGD8QLYHUVLW\-DSDQ

0LQL7XWRULDO

7LPH0RQGD\0D\

5RRP(VVH[$%

Memristor-CMOS hybrid circuits and systems for brain-inspired computing .\HRQJ6LN0LQ^`)HUQDQGR&RULQWR^`

.RRNPLQ8QLY6HRXO.RUHD^`3ROLWHFQLFRGL7RULQR7XULQ,WDO\^`

(8)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

džůǀŝŝ

:HOFRPH6HVVLRQDQG.H\QRWH

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP99,

Opening Remarks and Welcome from the Conference Co-Chairs 3DPHOD$EVKLUH8QLYHUVLW\RI0DU\ODQG&ROOHJH3DUN0'86$

5DOSK(WLHQQH&XPPLQJV-RKQV+RSNLQV8QLYHUVLW\%DOWLPRUH0'86$

The BRAIN Initiative: Building, Strengthening, and Sustaining 0L\RXQJ&KXQ([HFXWLYH93RI6FLHQFH3URJUDPV7KH.DYOL)RXQGDWLRQ

:HDUDEOH6HQVLQJ6\VWHPV

7LPH0RQGD\0D\

5RRP'RYHU$

&KDLUV5DYLQGHU 'DKL\D 8QLYHUVLW\RI*ODVJRZ+DGL +HLGDUL 8QLYHUVLW\RI*ODVJRZ

Electronic Skin and Electrocutaneous Stimulation to Restore the Sense of Touch in Hand Prosthetics /XFLD6HPLQDUD^`0DUWD)UDQFHVFKL^`/XLJL3LQQD^`$OL,EUDKLP^`0DXUL]LR9DOOH^`6WUDKLQMD'RVHQ^`'DULR )DULQD^`

^`*HRUJ$XJXVW8QLYHUVLWlW*|WWLQJHQ*HUPDQ\^`,PSHULDO&ROOHJH/RQGRQ8QLWHG.LQJGRP^`8QLYHUVLWjGL

*HQRYD,WDO\

High Resolution and Linearity Enhanced SAR ADC for Wearable Sensing Systems +XD)DQ^`+DGL+HLGDUL^`)UDQFR0DOREHUWL^`'DJDQJ/L^`'DTLDQ+X^`<XDQMXQ&HQ^`

^`&KHQJGX6LQR0LFURHOHFWURQLFV7HFKQRORJ\&R/WG&KLQD^`8QLYHUVLWjGHJOL6WXGLGL3DYLD,WDO\^`8QLYHUVLW\

RI(OHFWURQLF6FLHQFHDQG7HFKQRORJ\RI&KLQD&KLQD^`8QLYHUVLW\RI*ODVJRZ8QLWHG.LQJGRP

A Low-Power Low-Noise CMOS Voltage Reference with Improved PSR for Wearable Sensor Systems 3ÕQDU%DúDN%Dú\XUW^`(GRDUGR%RQL]]RQL^`)UDQFR0DOREHUWL^`'HYULP<LOPD]$NVLQ^`

^`$QDORJ'HYLFHV,QF7XUNH\^`,VWDQEXO7HFKQLFDO8QLYHUVLW\7XUNH\^`8QLYHUVLWjGHJOL6WXGLGL3DYLD,WDO\

Information-Processing-Driven Interfaces in Hybrid Large-Area Electronics Systems 7LIIDQ\0R\:DUUHQ5LHXWRUW/RXLV/LHFKDR+XDQJ6LJXUG:DJQHU-DPHV6WXUP1DYHHQ9HUPD

3ULQFHWRQ8QLYHUVLW\8QLWHG6WDWHV

A 310 nW 14.2-Bit Iterative-Incremental ADC for Wearable Sensing Systems 7DQ7DQ=KDQJ^`0DQ.D\/DZ^`%R:DQJ^`3XL,Q0DN^`0DQJ,9DL^`5XL3DXOR0DUWLQV^`

^`+DPDG%LQ.KDOLID8QLYHUVLW\+RQJ.RQJ8QLYHUVLW\RI6FLHQFHDQG7HFKQRORJ\4DWDU^`8QLYHUVLW\RI0DFDX 0DFDX

\HDUVRI&LUFXLWV6\VWHPV 6LJQDOV$6HVVLRQLQ+RQRURI3URI6DQMLW.0LWUD 3DUW,

7LPH0RQGD\0D\

5RRP'RYHU%&

&KDLUV33 9DLG\DQDWKDQ &DOLIRUQLD,QVWLWXWHRI7HFKQRORJ\<DR :DQJ 1HZ<RUN8QLYHUVLW\

A Historical Overview of Dr. Sanjit Mitra's Academic, Research and Professional Activities :LOOLDP-HQNLQV^`0LFKDHO6RGHUVWUDQG^`

^`3HQQV\OYDQLD6WDWH8QLYHUVLW\8QLWHG6WDWHV^`8QLYHUVLW\RI&DOLIRUQLD'DYLV8QLWHG6WDWHV

Filtering and Enhancement of Color Images in the Block DCT Domain -D\DQWD0XNKRSDGK\D\

,QGLDQ,QVWLWXWHRI7HFKQRORJ\.KDUDJSXU,QGLD

(9)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

džůǀŝŝŝ

On Secure Communications Without Eavesdropper Channel State 3KLOOLS5HJDOLD

1DWLRQDO6FLHQFH)RXQGDWLRQ&DWKROLF8QLYHUVLW\RI$PHULFD8QLWHG6WDWHV

INVITED: Photonic Allpass Filter: a Versatile Building Block for All-Optical Signal Processing

<XMLD:DQJ7UXRQJ1JX\HQ

8QLYHUVLW\RI&DOLIRUQLD6DQ'LHJR8QLWHG6WDWHV

'HHS/HDUQLQJ6\VWHPV

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,

&KDLUV-LQKX /X &KLQHVH$FDGHP\RI6FLHQFHV:HL;LQJ =KHQJ :HVWHUQ6\GQH\8QLYHUVLW\

INVITED: Unsupervised Learning Using Adversarial Networks

6RXPLWK&KLQWDOD 1$

)DFHERRN8QLWHG6WDWHV

Pipelined Parallel Contrastive Divergence for Continuous Generative Model Learning

%UXQR8PEULD3HGURQL6DGLTXH6KHLN*HUW&DXZHQEHUJKV 8QLYHUVLW\RI&DOLIRUQLD6DQ'LHJR8QLWHG6WDWHV

DFGNet: Mapping Dataflow Graph Onto CGRA by a Deep Learning Approach 6KRX\L<LQ'DMLDQJ/LX/LIHQJ6XQ/HLER/LX6KDRMXQ:HL

7VLQJKXD8QLYHUVLW\&KLQD

Optimizing Deep Neural Network Structure for Face Recognition )DQUXR0HQJ&KDQJ6KX+RQJVKHQJ/LX

8QLYHUVLW\RI(OHFWURQLF6FLHQFHDQG7HFKQRORJ\RI&KLQD&KLQD

Evaluation of Neural Network Architectures for Embedded Systems

$OIUHGR&DQ]LDQL^`(XJHQLR&XOXUFLHOOR^`$GDP3DV]NH^`

^`3XUGXH8QLYHUVLW\8QLWHG6WDWHV^`8QLYHUVLW\RI:DUVDZ3RODQG

%UDLQ&LUFXLWV 6\VWHPV

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,,

&KDLUV:RXWHU 6HUGLMQ 'HOIW8QLYHUVLW\RI7HFKQRORJ\$QGUHDV 'HPRVWKHQRXV 8QLYHUVLW\&ROOHJH/RQGRQ High Density, High Radiance —LED Matrix for Optogenetic Retinal Prostheses and Planar Neural

Stimulation

$KPHG6ROWDQ^`%ULDQ0F*RYHUQ^`(PPDQXHO'UDNDNLV^`0DUN1HLO^`0DKEXE$NKWHU^`-XQ6X/HH^`

3DWULFN'HJHQDDU^`

^`,PSHULDO&ROOHJH/RQGRQ8QLWHG.LQJGRP^`7\QGDOO1DWLRQDO,QVWLWXWH,UHODQG^`8QLYHUVLW\RI1HZFDVWOH 8QLWHG.LQJGRP

A Precision Pseudo Resistor Bias Scheme for the Design of Very Large Time Constant Filters

5REHUWR3XGGX&DWHULQD&DUERQL/RUHQ]R%LVRQL*LDQOXFD%DUDELQR'DQLOR3DQL/XLJL5DIIR0DVVLPR%DUEDUR 8QLYHUVLWjGHJOL6WXGLGL&DJOLDUL,WDO\

A High Input Impedance Low Noise Integrated Front-End Amplifier for Neural Monitoring

=KLMXQ=KRX3DXO:DUU

8QLYHUVLW\RI%ULVWRO8QLWHG.LQJGRP

An Integrated Passive Phase-Shift Keying Modulator for Biomedical Implants with Power Telemetry Over a Single Inductive Link

'DL-LDQJ'RPLQLN&LUPLUDNLV0DWWKHZ6FKRUPDQV$QGUHDV'HPRVWKHQRXV7LPRWK\3HUNLQV1LFN'RQDOGVRQ 8QLYHUVLW\&ROOHJH/RQGRQ8QLWHG.LQJGRP

(10)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

džůŝdž

Memristive Model for Synaptic Circuits

<DQJ=KDQJ^`;LDRSLQJ:DQJ^`<L/L^`(E\*)ULHGPDQ^`

^`+XD]KRQJ8QLYHUVLW\RI6FLHQFHDQG7HFKQRORJ\&KLQD^`8QLYHUVLW\RI5RFKHVWHU8QLWHG6WDWHV

2VFLOODWRUV3KDVHORFNHG/RRSV 2WKHUV,

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,,,

&KDLUV-RUJH )HUQDQGHV ,QVWLWXWRGH(QJHQKDULD GH6LVWHPDVH&RPSXWDGRUHV,'6KDKULDU 0LUDEEDVL 8QLYHUVLW\RI%ULWLVK&ROXPELD

INVITED: a ±10ppm -40 to 125°C BAW-Based Frequency Reference System for Crystal-Less Wireless Sensor Nodes 'DQLHOOH*ULIILWK3HU7RUVWHLQ5¡LQH7RUMXV.DOOHUXG%ULDQ*RRGOLQ=DFKDU\+XJKHV(UQHVW<HQ

7H[DV,QVWUXPHQWV,QF1RUZD\7H[DV,QVWUXPHQWV,QF8QLWHG6WDWHV

On the Mechanisms Governing Spurious Tone Injection in Fractional PLLs )HGHULFR%L]]DUUL^`$QJHOR%UDPELOOD^`6HUJLR&DOOHJDUL^`

^`3ROLWHFQLFRGL0LODQR,WDO\^`8QLYHUVLWjGL%RORJQD,WDO\

A Wide Tuning-Range ADFLL for mW-Socs with Dithering-Enhanced Accuracy in 65 nm CMOS 'DYLG%HOODVL3KLOLSS6FK|QOH4LXWLQJ+XDQJ/XFD%HQLQL

(LGJHQ|VVLVFKH7HFKQLVFKH+RFKVFKXOH=ULFK6ZLW]HUODQG

A Novel Segmentation Scheme for DTC-%DVHGǻȈ)UDFWLRQDO-N PLL 7XDQ0LQK9R&DUOR6DPRUL$QGUHD/HRQDUGR/DFDLWD6DOYDWRUH/HYDQWLQR 3ROLWHFQLFRGL0LODQR,WDO\

0.5 kHz – 32 MHz Digital Fractional-N Frequency Synthesizer with Burst-Frequency Switch 6HXQJ+XQ6KLQ3LO+R/HH-LQ:RR3DUN<X-HRQJ+ZDQJ<RXQJ&KDQ-DQJ

.XPRK1DWLRQDO,QVWLWXWHRI7HFKQRORJ\.RUHD6RXWK

7HPSHUDWXUH&RPSHQVDWHG&LUFXLWV

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,9

&KDLUV'HJDQJ &KHQ ,RZD6WDWH8QLYHUVLW\6KDKULDU 0LUDEEDVL 8QLYHUVLW\RI%ULWLVK&ROXPELD A 0.9V-VDD Sub-nW Resistor-Less Duty-Cycled CMOS Voltage Reference in 65nm for IoT 0DRTLDQJ/LX$UWKXU+0YDQ5RHUPXQG3LHWHU+DUSH

(LQGKRYHQ8QLYHUVLW\RI7HFKQRORJ\1HWKHUODQGV

A 2.1-ppm/°C Current-Mode CMOS Bandgap Reference with Piecewise Curvature Compensation 5XRFKHQJ:DQJ:HQJDR/X<X]H1LX=KDRNDL/LX0HQJ=KDR<DFRQJ=KDQJ=KRQJMLDQ&KHQ 3HNLQJ8QLYHUVLW\&KLQD

(11)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

ů

A Sub-1 V, Nanopower, ZTC Based Zero-VT Temperature-Compensated Current Reference 'DYLG&RUGRYD^`$UWKXU&GH2OLYHLUD^`3HGUR7ROHGR^`+DPLOWRQ.OLPDFK^`6HUJLR%DPSL^`(ULF)DEULV^`

^`,06%RUGHDX[3HUX^`8QLYHUVLGDGH)HGHUDOGR5LR*UDQGHGR6XO%UD]LO

Temperature Compensation of Floating-Gate Transistors in Field-Programmable Analog Arrays

$OH[DQGHU'LOHOOR^`6WHYHQ$QGU\]FLN^`%UDQGRQ.HOO\^`%UDQGRQ5XPEHUJ^`'DYLG*UDKDP^`

^`$VSLQLW\,QF8QLWHG6WDWHV^`:HVW9LUJLQLD8QLYHUVLW\8QLWHG6WDWHV

A 9-nW on-Chip Constant Subthreshold CMOS Transconductance Bias with Fine-Tuning 8OGULF$QWDR-RKQ&KRPD7KHRGRUH%HUJHU

8QLYHUVLW\RI6RXWKHUQ&DOLIRUQLD8QLWHG6WDWHV

&RPSXWDWLRQDO,PDJH6HQVRUV

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP9,,

&KDLUV-RVHSK /LQ 0DVVDFKXVHWWV,QVWLWXWHRI7HFKQRORJ\&KDUEHO 5L]N -RKQV+RSNLQV8QLYHUVLW\

Reducing Electrical Power Dissipation in Computational Imaging Systems Through Special-Purpose Optics 'DYLG6WRUN7KRPDV9RJHOVDQJ-DPHV7ULQJDOL3DWULFN5*LOO0DUN.HOODP(YDQ(ULFNVRQ

5DPEXV,QF8QLWHG6WDWHV

Neuromorphic Readout Integrated Circuits and Related Spike-Based Image Processing 'HDQ6FULEQHU7KRPDV3HWW\3HWHU0XL

1RUWKURS*UXPPDQ&RUSRUDWLRQ8QLWHG6WDWHV

Characterization of RTN Noise in the Analog Front-End of Digital Pixel Imagers

&KDUEHO5L]N^`)UDQFLVFR7HMDGD^`-RKQ+XJKHV^`'DYLG%DUEHKHQQ^`3KLOLSSH3RXOLTXHQ^`$QGUHDV*

$QGUHRX^`

^`,PRJLQ//&8QLWHG6WDWHV^`-RKQV+RSNLQV8QLYHUVLW\8QLWHG6WDWHV

Block-Matching Optical Flow for Dynamic Vision Sensors: Algorithm and FPGA Implementation 0LQ/LX7REL'HOEUXFN

8QLYHUVLWlW=ULFK(LGJHQ|VVLVFKH7HFKQLVFKH+RFKVFKXOH=ULFK6ZLW]HUODQG

Spatiotemporal Compressed Sampling for Video Compression 1$

-LH=KDQJ7DR;LRQJ6DQJ3HWHU&KLQ7UDF7UDQ5DOSK(WLHQQH&XPPLQJV -RKQV+RSNLQV8QLYHUVLW\8QLWHG6WDWHV

,QWHUQHWRI9LGHR7KLQJV6\VWHP$UFKLWHFWXUH)UDPHZRUN $SSOLFDWLRQ7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP9,,,

&KDLUV<HQ.XDQJ &KHQ ,QWHO&RUSRUDWLRQ(GXDUG $ODUFRQ 8QLYHUVLWDW3ROLWqFQLFDGH&DWDOXQ\D

INVITED: Improving Driver Safety Using Deep Learning on Embedded Devices 1$

'DYLG-XOLDQ

1HWUD'\QH8QLWHG6WDWHV

Internet of Video Things in 2030: a World with Many Cameras

$QXS0RKDQ^`.HQW*DXHQ^`<XQJ+VLDQJ/X^`:HL:D\QH/L^`;XHPLQ&KHQ^`

^`3XUGXH8QLYHUVLW\8QLWHG6WDWHV^`7H[DV6RXWKHUQ8QLYHUVLW\8QLWHG6WDWH

A Framework for Visual Fog Computing 6KDR:HQ<DQJ^`2PHVK7LFNRR^`<HQ.XDQJ&KHQ^`

^`,QWHO&RUSRUDWLRQ8QLWHG6WDWHV^`,QWHO5HVHDUFK/DEOHWV8QLWHG6WDWHV

(12)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

ůŝ

A Multi-Agent Based System for Run-Time Distributed Resource Management ,RDQQLV*DODQLV'DQLHO2OVHQ,UDNOLV$QDJQRVWRSRXORV

6RXWKHUQ,OOLQRLV8QLYHUVLW\&DUERQGDOH8QLWHG6WDWHV

Distributed Video Codec with Spatiotemporal Side Information

<XHK<LQJ/HH^`3LQ+XQJ .XR^`&KLD+DQ/HH^`<HQ.XDQJ&KHQ^`6KDR<L&KLHQ^`

^`,QWHO&RUSRUDWLRQ8QLWHG6WDWHV^`1DWLRQDO&KLDR7XQJ8QLYHUVLW\7DLZDQ^`1DWLRQDO7DLZDQ8QLYHUVLW\

7DLZDQ

%LRPHWULFV %LRPHGLFDO6LJQDO,PDJH3URFHVVLQJ&LUFXLWV 6\VWHPV,,

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,;

&KDLUV*LDQOXFD 6HWWL 8QLYHUVLWjGHJOL6WXGLGL)HUUDUD'DQLOR 'HPDUFKL 3ROLWHFQLFRGL7RULQR

LightProbe: a 64-Channel Programmable Ultrasound Transducer Head with an Integrated Front-End and a 26.4 Gb/s Optical Link

3DVFDO$OH[DQGHU+DJHU^`&KULVWRSK5LVVHU^`3HWHU.DUO:HEHU^`/XFD%HQLQL^`

^`(LGJHQ|VVLVFKH7HFKQLVFKH+RFKVFKXOH=ULFK6ZLW]HUODQG^`)UDXQKRIHU,QVWLWXWHIRU%LRPHGLFDO(QJLQHHULQJ

*HUPDQ\

A Microstimulator with Parameter Adjustment for Bladder Dysfunction

<X-LQ/LQ6KXHQQ<XK/HH

1DWLRQDO&KHQJ.XQJ8QLYHUVLW\7DLZDQ

On the Use of Compressive Sensing (CS) for Brain Dopamine Recording with Fast-Scan Cyclic Voltammetry (FSCV)

+RVVHLQ=DPDQL^`+DPLG%DKUDPL^`3DXO*DUULV^`3HGUDP0RKVHQL^`

^`&DVH:HVWHUQ5HVHUYH8QLYHUVLW\8QLWHG6WDWHV^`,OOLQRLV6WDWH8QLYHUVLW\8QLWHG6WDWHV^`8QLYHUVLW\RI

$NURQ8QLWHG6WDWHV

Tensor-Based Fusion of EEG and FMRI to Understand Neurological Changes in Schizophrenia (YULP$FDU^`<XUL/HYLQ6FKZDUW]^`9LQFH'&DOKRXQ^`7XOD\$GDOÕ^`

^`8QLYHUVLW\RI&RSHQKDJHQ'HQPDUN^`8QLYHUVLW\RI0DU\ODQG%DOWLPRUH&RXQW\8QLWHG6WDWHV^`8QLYHUVLW\RI 1HZ0H[LFR8QLWHG6WDWHV

A Power-Area-Efficient Impedance Sensor Design for 10 × 10 Microelectrode Array Sensing

;LQ\XDQ*H7V]1JDL/LQ-LH<XDQ

+RQJ.RQJ8QLYHUVLW\RI6FLHQFHDQG7HFKQRORJ\+RQJ.RQJ

6$5$'&V

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP;

&KDLUV0RKDPDG 6DZDQ 3RO\WHFKQLTXH0RQWUpDO-RVH 6LOYD0DUWLQH] 7H[DV$ 08QLYHUVLW\

High-Resolution SAR ADC with Enhanced Linearity +XD)DQ^`)UDQFR0DOREHUWL^`

^`8QLYHUVLWjGHJOL6WXGLGL3DYLD,WDO\^`8QLYHUVLW\RI(OHFWURQLF6FLHQFHDQG7HFKQRORJ\RI&KLQD&KLQD Seven-Bit 700-MS/s Four-Way Time-Interleaved SAR ADC with Partial Vcm-Based Switching

'H]KL;LQJ^`<DQ=KX^`&KL+DQJ&KDQ^`6DL:HQJ6LQ^`6HQJ3DQ8^`5XL3DXOR0DUWLQV^`)DQ<H^`

-XQ\DQ5HQ^`

^`)XGDQ8QLYHUVLW\&KLQD^`8QLYHUVLW\RI0DFDX&KLQD^`8QLYHUVLW\RI0DFDX3RUWXJDO

(13)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

ůŝŝ

A 12-Bit 40-MS/s Calibration-Free SAR ADC

&KXQJ:HL+VX/L-HQ&KDQJ&KXQ3R+XDQJ6RRQ-\K&KDQJ 1DWLRQDO&KHQJ.XQJ8QLYHUVLW\7DLZDQ

A Calibration-Free 13-Bit 0.9 V Differential SAR-ADC with Hybrid DAC and Dithering 4XHQWLQ6DXYp^`'DPLHQ)DYUH^`*DEULHO0RULQ/DSRUWH^`0RKDPPDG7DKHU]DGHK6DQL^`1LFRODV

&RQVWDQWLQ^`)UpGpULF1DENL^`

^`eFROHGH7HFKQRORJLH6XSpULHXUH&DQDGD^`)HUGRZVL8QLYHUVLW\RI0DVKKDG,UDQ^`8QLYHUVLWpGX4XpEHFj 0RQWUpDO&DQDGD

A Low-Complexity Correlation-Based Time Skew Estimation Technique for Time-Interleaved SAR ADCs

$UPLD6DOLE%DUU\&DUGLII0DUN)ODQDJDQ 8QLYHUVLW\&ROOHJH'XEOLQ,UHODQG

0,026\VWHPV

7LPH0RQGD\0D\

5RRP/DXUHO$%

&KDLUV&KULVWRSK 6WXGHU 5LFH8QLYHUVLW\/DQ'D 9DQ 1DWLRQDO&KLDR7XQJ8QLYHUVLW\

Power-Aware Space-Time-Trellis-Coded MIMO Detector with SNR Estimation and State-Purging .DL7LQJ6KU&KLHK<X&KHQ-LQ:HL-KDQJ<XDQ+DR+XDQJ

1DWLRQDO7VLQJ+XD8QLYHUVLW\7DLZDQ

ADMM-Based Infinity Norm Detection for Large MU-MIMO: Algorithm and VLSI Architecture 6KDKULDU6KDKDEXGGLQ^`0DUNNX-XQWWL^`&KULVWRSK6WXGHU^`

^`&RUQHOO8QLYHUVLW\8QLWHG6WDWHV^`8QLYHUVLW\RI2XOX)LQODQG

A Cholesky Decomposition Based Massive MIMO Uplink Detector with Adaptive Interpolation 5DNHVK*DQJDUDMDLDK+HPDQWK3UDEKX 2YH(GIRUV/LDQJ/LX

/XQG8QLYHUVLW\6ZHGHQ

Design of an SVD Engine for 8×8 MIMO Precoding Systems

&KXQ+XQ:X&KLQ<L/LX3HL<XQ7VDL 1DWLRQDO&HQWUDO8QLYHUVLW\7DLZDQ

Algorithm and Architecture for Joint Detection and Decoding for MIMO with LDPC Codes 6KXVKHQ-LQJ^`-XQPHL<DQJ^`=KRQJIHQJ:DQJ^`;LDRKX<RX^`&KXDQ=KDQJ^`

^`1DQMLQJ8QLYHUVLW\&KLQD^`6RXWKHDVW8QLYHUVLW\&KLQD

(PHUJLQJ 5HFRQILJXUDEOH$UFKLWHFWXUHV

7LPH0RQGD\0D\

5RRP/DXUHO&'

&KDLUV;LQPLDR =KDQJ &DVH:HVWHUQ8QLYHUVLW\.HVKDE. 3DUKL 8QLYHUVLW\RI0LQQHVRWDDW0LQQHDSROLV FPGA Implementation and Comparison of AES-GCM and Deoxys Authenticated Encryption Schemes 6DQGK\D.RWHVKZDUD^`$PLWDEK'DV^`.HVKDE.3DUKL^`

^`,QWHO&RUSRUDWLRQ8QLWHG6WDWHV^`8QLYHUVLW\RI0LQQHVRWD7ZLQ&LWLHV8QLWHG6WDWHV

Robust 7-nm SRAM Design on a Predictive PDK 9LQD\9DVKLVKWKD0DQRM9DQJDOD3DUY6KDUPD/DZUHQFH&ODUN

$UL]RQD6WDWH8QLYHUVLW\8QLWHG6WDWHV

(14)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

ůŝŝŝ

A Fast FPGA-Based Deep Convolutional Neural Network Using Pseudo Parallel Memories 0XOXNHQ+DLOHVHOODVLH6\HG5DID\+DVDQ

7HQQHVVHH7HFKQRORJLFDO8QLYHUVLW\8QLWHG6WDWHV

Fast Cycle-Accurate Compile Based Simulator for Reconfigurable Processor 1DUDVLQJD 5DR0LQLVNDU^`5DM1DUD\DQD*DGGH^`<RXQJ&KXO5DPV&KR^`6XNMLQ.LP^`

^`6DPVXQJ(OHFWURQLFV.RUHD6RXWK^`6DPVXQJ5 ',QVWLWXWH,QGLD%DQJDORUH,QGLD^`6DPVXQJ5 ' ,QVWLWXWH,QGLD%DQJDORUH,QGLD

Hierarchical Functional Obfuscation of Integrated Circuits Using a Mode-Based Approach 6DQGK\D.RWHVKZDUD&KULV+.LP.HVKDE.3DUKL

8QLYHUVLW\RI0LQQHVRWD7ZLQ&LWLHV8QLWHG6WDWHV

9LGHR&RGLQJ,PSOHPHQWDWLRQV

7LPH0RQGD\0D\

5RRP.HQW$%

&KDLUV6DHLG 1RRVKDEDGL 0LFKLJDQ7HFKQRORJLFDO8QLYHUVLW\/X <X =KHMLDQJ8QLYHUVLW\

A Dual-Clock VLSI Design of H.265 Sample Adaptive Offset Estimation for 8K Ultra-HD TV Encoding -LDQELQ=KRX'DMLDQJ=KRX6KLKDR:DQJ6KXSLQJ=KDQJ7DNHVKL<RVKLPXUD6DWRVKL*RWR

:DVHGD8QLYHUVLW\-DSDQ

H.265/HEVC Encoder Optimization with Parallel-Efficient Algorithm and QP-Based Early Termination

&DR\DQJ-LDQJ6DHLG1RRVKDEDGL

0LFKLJDQ7HFKQRORJLFDO8QLYHUVLW\8QLWHG6WDWHV

A Hardware-Friendly Hierarchical HEVC Motion Estimation Algorithm for UHD Applications /L+X-LDZHL*X*XDQJKXL+H:HLIHQJ+H

6KDQJKDL-LDR7RQJ8QLYHUVLW\&KLQD

High-Level Synthesized 2-D IDCT/IDST Implementation for HEVC Codecs on FPGA 9LOL9LLWDPlNL3DQX6M|YDOO-DUQR9DQQH7LPR+lPlOlLQHQ

7DPSHUH8QLYHUVLW\RI7HFKQRORJ\)LQODQG

A Higher Order Transform Domain Filter Exploiting Non-Local Spatial Correlation for Video Coding 4LQJ=KDQJ/X<X

=KHMLDQJ8QLYHUVLW\&KLQD

1RYHO0HPRU\7HFKQRORJLHV

7LPH0RQGD\0D\

5RRP(VVH[$%

&KDLUV$O\VVD $SVHO &RUQHOO8QLYHUVLW\

Highly Configurable Hybrid GC-eDRAM/SRAM Bitcell for Robust Low-Power Operation 5REHUW*LWHUPDQ^`$GDP7HPDQ^`3DVFDO0HLQHU]KDJHQ^`

^`%DU,ODQ8QLYHUVLW\,VUDHO^`,QWHO5HVHDUFK/DEOHWV8QLWHG6WDWHV

Maximization of Crossbar Array Memory Using Fundamental Memristor Theory -DVRQ.DPUDQ-U(VKUDJKLDQ^`.\RXQJ5RN&KR^`+HUEHUW+R&KLQJ,X^`7\URQH)HUQDQGR^`6XQJ0R .DQJ^`.DPUDQ(VKUDJKLDQ^`

^`&KXQJEXN1DWLRQDO8QLYHUVLW\.RUHD6RXWK^`L'DWD0DS&RUSRUDWLRQ$XVWUDOLD^`.RUHD$GYDQFHG,QVWLWXWHRI 6FLHQFHDQG7HFKQRORJ\.RUHD6RXWK^`8QLYHUVLW\RI:HVWHUQ$XVWUDOLD$XVWUDOLD

(15)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

ůŝǀ

A Time-Division Multiplexing Signaling Scheme for Low-Power Multi-Drop Memory Links

*DLQ.LP^`&KHQ&DR^`.LDUDVK*KDULEGRXVW^`<XVXI/HEOHELFL^`

^`eFROH3RO\WHFKQLTXH)pGpUDOHGH/DXVDQQH6ZLW]HUODQG^`.DQGRX%XV6ZLW]HUODQG

Dynamic Reference Scheme for Variation-Resilient STT-MRAM Sensing .LHQ7ULQK4XDQJ^`6HUJLR5XRFFR^`0DVVLPR$OLRWR^`

^`$JHQF\IRU6FLHQFH7HFKQRORJ\DQG5HVHDUFK6LQJDSRUH^`1DWLRQDO8QLYHUVLW\RI6LQJDSRUH6LQJDSRUH Universal Performance Parameters for Resistive Switching Devices

-RUJH*RPH]^`,RDQQLV9RXUNDV^`$QJHO$EXVOHPH^`0DUFRV0DHVWUR^`5RVDQD5RGUtJXH]0DUWtQH]^`

-DYLHU0DUWLQ0DUWLQH]^`0RQWVHUUDW1DIULD^`*HRUJLRV&K6LUDNRXOLV^`$QWRQLR5XELR^`

^`'HPRFULWXV8QLYHUVLW\RI7KUDFH*UHHFH^`3RQWLILFLD8QLYHUVLGDG&DWyOLFDGH&KLOH&KLOH^`8QLYHUVLWDW

$XWzQRPDGH%DUFHORQD6SDLQ^`8QLYHUVLWDW3ROLWqFQLFDGH&DWDOXQ\D6SDLQ

7HVWLQJ 9HULILFDWLRQ

7LPH0RQGD\0D\

5RRP'RYHU$

&KDLUV'HJDQJ &KHQ ,RZD6WDWH8QLYHUVLW\,JRU)LODQYRVN\ 8QLYHUVLW\RI$OEHUWD

An Ultra Low-Power Capacitively-Coupled Chopper Instrumentation Amplifier for Wheatstone-Bridge Readout Circuits

0RDD]$KPHG^`)DULG%RXVVDLG^`$PLQH%HUPDN^`

^`+DPDG%LQ.KDOLID8QLYHUVLW\+RQJ.RQJ8QLYHUVLW\RI6FLHQFHDQG7HFKQRORJ\+RQJ.RQJ^`+RQJ.RQJ 8QLYHUVLW\RI6FLHQFHDQG7HFKQRORJ\+RQJ.RQJ^`8QLYHUVLW\RI:HVWHUQ$XVWUDOLD$XVWUDOLD

Multi-Standard Low-Power DDR I/O Circuit Deisgn in 7nm CMOS Process 0RR6XQJ&KDH7KRPDV:LOVRQ(ULF1DYLDVN\

&DGHQFH'HVLJQ6\VWHPV8QLWHG6WDWHV

A Self-Test on Wafer Level for a MEM *\URVFRSH5HDGRXW%DVHGRQ¨Ȉ0RGXODWLRQ 6HEDVWLDQ1HVVOHU0D[LPLOLDQ0DU[<LDQQRV0DQROL

$OEHUW/XGZLJV8QLYHUVLWlW)UHLEXUJ,07(.*HUPDQ\

Accurate Spectral Testing of the Signals with Amplitude Drift

<XPLQJ=KXDQJ'HJDQJ&KHQ ,RZD6WDWH8QLYHUVLW\8QLWHG6WDWHV

Floating-Gate FPAA Calibration for Analog System Design and Built-in Self Test 6LKZDQ.LP6DKLO6KDK-HQQLIHU+DVOHU

*HRUJLD,QVWLWXWHRI7HFKQRORJ\8QLWHG6WDWHV

\HDUVRI&LUFXLWV6\VWHPV 6LJQDOV$6HVVLRQLQ+RQRURI3URI6DQMLW.0LWUD 3DUW,,

7LPH0RQGD\0D\

5RRP'RYHU%&

&KDLUV33 9DLG\DQDWKDQ &DOLIRUQLD,QVWLWXWHRI7HFKQRORJ\<DR :DQJ 1HZ<RUN8QLYHUVLW\

INVITED: Tidbits on Tunable Analog Filters and Image Demosaicing +HQULTXH60DOYDU

0LFURVRIW5HVHDUFK86$

Second-Order Analog Filter Sections with Independently Tunable Center Frequency and Bandwidth

$QWRQLR3HWUDJOLD0DULDQH3HWUDJOLD0DQRHO3HUH]

8QLYHUVLGDGH)HGHUDOGR5LRGH-DQHLUR%UD]LO

(16)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

ůǀ

Unsupervised Video Orchestration Based on Aesthetic Features

$OHVVDQGUR1HUL)HGHULFD%DWWLVWL)HGHULFR&RODQJHOR0DUFR&DUOL 8QLYHUVLWjGHJOL6WXGL5RPD75(,WDO\

Signal Processing and Climate Understanding -DFTXHV6]F]XSDN/HRQWLQD3LQWR*DEULHO7RUUHV

(QJHQKR%UD]LO

Tunable FIR Digital Filters Using FIR Approximation of Spectral Transformation

$QDPLWUD0DNXU

1DQ\DQJ7HFKQRORJLFDO8QLYHUVLW\6LQJDSRUH

'HHS/HDUQLQJIRU(PEHGGHG5HDO7LPH6\VWHPV

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,

&KDLUV7LQRRVK 0RKVHQLQ 8QLYHUVLW\RI0DU\ODQG$]DOLD 0LUKRVHLQL *RRJOH%UDLQ

Tightly Integrated Deep Learning and Symbolic Programming on a Single Neuromorphic Chip

%U\DQ'DZVRQ^`-DPLH,QIDQWROLQR^`0DQXHO9LQGLROD^`-RKQ0RQDFR^`

^`6HFXUH0LVVLRQ6ROXWLRQV8QLWHG6WDWHV^`86$UP\5HVHDUFK/DERUDWRU\8QLWHG6WDWHV

INVITED: Towards Closing the Energy Gap Between Hog and CNN Features for Embedded Vision

$PU6XOHLPDQ^`<X+VLQ&KHQ^`-RHO(PHU^`9LYLHQQH6]H^`

^`0DVVDFKXVHWWV,QVWLWXWHRI7HFKQRORJ\8QLWHG6WDWHV^`0DVVDFKXVHWWV,QVWLWXWHRI7HFKQRORJ\1YLGLD

&RUSRUDWLRQ8QLWHG6WDWHV

PACENet: Energy Efficient Acceleration for Convolutional Network on Embedded Platform

$GZD\D.XONDUQL7DKPLG$EWDKL&ROLQ6KHD$PH\.XONDUQL7LQRRVK0RKVHQLQ 8QLYHUVLW\RI0DU\ODQG%DOWLPRUH&RXQW\8QLWHG6WDWHV

TinyDL: Just-in-Time Deep Learning Solution for Constrained Embedded Systems

%LWD'DUYLVK5RXKDQL^`$]DOLD0LUKRVHLQL^`)DULQD].RXVKDQIDU^`

^`5LFH8QLYHUVLW\8QLWHG6WDWHV^`8QLYHUVLW\RI&DOLIRUQLD6DQ'LHJR8QLWHG6WDWHV

End-to-End Scalable FPGA Accelerator for Deep Residual Networks

<XIHL0D0LQN\X.LP<X&DR6DUPD9UXGKXOD-DH6XQ6HR

$UL]RQD6WDWH8QLYHUVLW\8QLWHG6WDWHV

8OWUDHIILFLHQW$SSURDFKHV(QDEOLQJ/RQJWHUP0RELOH((*IRU%UDLQ0RQLWRULQJ

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,,

&KDLUV'DYLG +DLUVWRQ 86$UP\5HVHDUFK/DERUDWRU\7LQRRVK 0RKVHQLQ 8QLYHUVLW\RI0DU\ODQG Wireless Brain Computer Interfaces Enabling Synchronized Optogenetics and Electrophysiology

*DEULHO*DJQRQ7XUFRWWH/pRQDUG/*DJQRQ*XLOODXPH%LORGHDX%HQRLW*RVVHOLQ 8QLYHUVLWp/DYDO&DQDGD

(17)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

ůǀŝ

An EEG Artifact Identification Embedded System Using ICA and Multi-Instance Learning

$OL-DIDUL^`6XQLO*DQGKL^`+DUVKD.RQXUX^`:LOOLDP'DYLG+DLUVWRQ^`7LP2DWHV^`7LQRRVK0RKVHQLQ^`

^`86 $UP\5HVHDUFK/DERUDWRU\8QLWHG6WDWHV^`8QLYHUVLW\RI0DU\ODQG%DOWLPRUH&RXQW\8QLWHG6WDWHV Online Adaptive Data Acquisition Enabling Ultra-Low Power Real-World EEG

0LFKDHO1RQWH^`-RVHSK&RQUR\^`3HWHU*DGIRUW^`:LOOLDP'DYLG+DLUVWRQ^`

^`'&6&RUSRUDWLRQ8QLWHG6WDWHV^`86$UP\5HVHDUFK/DERUDWRU\8QLWHG6WDWHV

INVITED: Towards Signal Processing Assisted Hardware for Continuous in-Band Electrode Impedance Monitoring 6LGGKDUWK.RKOL$OH[DQGHU&DVVRQ

8QLYHUVLW\RI0DQFKHVWHU8QLWHG.LQJGRP

INVITED: Work Towards a Fieldable Multi-Channel EEG System for Continuous Monitoring 1$

3DXO7KHLOPDQQ^`-XOLDQ:DUFKDOO^`3DWULFN0HUFLHU^`+DULQDWK*DUXGDGUL^`

^`0D[HQWULF7HFKQRORJLHV//&8QLWHG6WDWHV^`8QLYHUVLW\RI&DOLIRUQLD6DQ'LHJR8QLWHG6WDWHV

2VFLOODWRUV3KDVHORFNHG/RRSV 2WKHUV,,,

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,,,

&KDLUV1DWKDQ 1HLKDUW ,RZD6WDWH8QLYHUVLW\$\PDQ )D\HG 2KLR6WDWH8QLYHUVLW\

Charge-Controlled Oscillators and Their Application in Frequency Synthesis 5RRKLH.DXVKLN6KRXUL&KDWWHUMHH*69LVZHVZDUDQ

,QGLDQ,QVWLWXWHRI7HFKQRORJ\'HOKL,QGLD

An Area-Efficient, 0.022-mm², Fully Integrated Resistor-Less Relaxation Oscillator for Ultra-Low Power Real-Time Clock Applications

+LURNL$VDQR7HWVX\D+LURVH7RVKLKLUR2]DNL1REXWDND.XURNL0DVDKLUR1XPD .REH8QLYHUVLW\-DSDQ

A 5-Bit Phase-Interpolator-Based Fractional-N Frequency Divider for Digital Phase-Locked Loops -LDQIX/LQ+DQMXQ-LDQJ%DR\RQJ&KL

7VLQJKXD8QLYHUVLW\&KLQD

Below-Ground Injection of Floating-Gate Transistors for Programmable Analog Circuits 0LU0RKDPPDG1DYLGL^`'DYLG*UDKDP^`%UDQGRQ5XPEHUJ^`

^`$VSLQLW\,QF8QLWHG6WDWHV^`:HVW9LUJLQLD8QLYHUVLW\8QLWHG6WDWHV

Analytic Modeling of Static Noise Margin Considering DIBL and Body Bias Effects )DELiQ2OLYHUD$QWRQLR3HWUDJOLD

8QLYHUVLGDGH)HGHUDOGR5LRGH-DQHLUR%UD]LO

,QQRYDWLRQVLQ$FRXVWLFV

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,9

&KDLUV0X\LQDWX %HOO -RKQV+RSNLQV8QLYHUVLW\5DOSK (WLHQQH&XPPLQJV -RKQV+RSNLQV8QLYHUVLW\

INVITED: Programmable Electronic Stethoscope 1$

-DPHV(:HVW,DQ0F/DQH0RXQ\D(OKLODOL'LPLWUD(PPDQRXLOLGRX -RKQV+RSNLQV8QLYHUVLW\8QLWHG6WDWHV

Echo Flow Patterns Influence Bat Flight Behavior 1$

0LFKDHOD:DUQHFNH^`%HQMDPLQ)DON^`-RKQ+DOODP^`&\QWKLD)0RVV^`

^`-RKQV+RSNLQV8QLYHUVLW\8QLWHG6WDWHV^`8QLYHUVLW\RI6RXWKHUQ'HQPDUN8QLWHG6WDWHV

(18)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

ůǀŝŝ

INVITED: Automatic Vascular Flow Reconstruction with Doppler Ultrasound 1$

;LQ.DQJ^`'DYLG1DUURZ^`'HYLQ2%ULHQ&RRQ^`

^`-RKQV+RSNLQV8QLYHUVLW\8QLWHG6WDWHV^`6RQDYH[,QF8QLWHG6WDWHV

INVITED: Perceptual Signal Processing for Audio-Visual Beamforming with the Eigenmike Microphone

Array and an Omni-Camera 1$

'DQLHO50HQGDW-DPHV(:HVW6XGDUVKDQ5DPHQDKDOOL(UQVW1LHEXU$QGUHDV*$QGUHRX -RKQV+RSNLQV8QLYHUVLW\8QLWHG6WDWHV

Advanced Beamforming Methods for Ultrasound and Photoacoustic Imaging 1$

0X\LQDWX$/HGLMX%HOO

-RKQV+RSNLQV8QLYHUVLW\8QLWHG6WDWHV

,PDJH6HQVRUV

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP9,,

&KDLUV6KRXVKXQ &KHQ 1DQ\DQJ7HFKQRORJLFDO8QLYHUVLW\9LNWRU *UXHY 8QLYHUVLW\RI,OOLQRLV8UEDQD

&KDPSDLJQ

A 1600 by 1200, 300 mW, 40 fps Multi-Spectral Imager for Near-Infrared Fluorescence Image-Guided Surgery 0LVVDHO*DUFLD^`0RKDPHG=D\HG^`.\RXQJ0L3DUN^`9LNWRU*UXHY^`

^`8QLYHUVLW\RI,OOLQRLVDW8UEDQD&KDPSDLJQ8QLWHG6WDWHV^`:DVKLQJWRQ8QLYHUVLW\LQ6W/RXLV8QLWHG6WDWHV A Novel Smoothness-Based Interpolation Algorithm for Division of Focal Plane Polarimeters

-LH\XQ=KDQJ^` :HQELQ<H^`$VKIDT$KPHG^`=KXUXL4LX^`<XDQ&DR^`;LDRMLQ=KDR^`

^`&KHQJKDQ,QWHUQDWLRQDO6FKRRO&KLQD^`+RQJ.RQJ8QLYHUVLW\RI6FLHQFHDQG 7HFKQRORJ\+RQJ.RQJ

^`6KHQ]KHQ8QLYHUVLW\&KLQD

Analysis of CMS Noise Reduction for 65 nm CIS 5DIIDHOH&DSRFFLD$VVLP%RXNKD\PD&KULVWLDQ(Q]

eFROH3RO\WHFKQLTXH)pGpUDOHGH/DXVDQQH6ZLW]HUODQG

Dead Time Effects in the Indirect Time-of-Fight Measurement with SPADs 0DLN%HHU^`2ODI6FKUH\^`%HGULFK+RVWLFND^`5DLQHU.RNR]LQVNL^`

^`)UDXQKRIHU,QVWLWXWHIRU0LFURHOHFWURQLF&LUFXLWVDQG6\VWHPV*HUPDQ\^`8QLYHUVLWlW'XLVEXUJ(VVHQ

*HUPDQ\

(QHUJ\(IILFLHQW 6HFXUH,R7

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP9,,,

&KDLUV(PUH 6DOPDQ 6WRQ\%URRN8QLYHUVLW\0LOXWLQ 6WDQHFHYLF 6WRQ\%URRN8QLYHUVLW\

INVITED: Internet of Things and EDA: an Industrial Perspective 1$

7XQD7DULP

7H[DV,QVWUXPHQWV,QF8QLWHG6WDWHV

Energy Efficient AC Computing Methodology for Wirelessly Powered IoT Devices 7XWX:DQ<DVKD.DULPL0LOXWLQ6WDQDüHYLü(PUH6DOPDQ

6WRQ\%URRN8QLYHUVLW\8QLWHG6WDWHV

Variance-Based Digital Logic for Energy Harvesting Internet-of-Things 6UL+DUVKD.RQGDSDOOL;XDQ=KDQJ6KDQWDQX&KDNUDEDUWW\

:DVKLQJWRQ8QLYHULVW\LQ6W/RXLV86$

A Novel Approximate Computing Based Security Primitive for the Internet of Things 0LQJ]H*DR*DQJ4X

8QLYHUVLW\RI0DU\ODQG&ROOHJH3DUN8QLWHG6WDWHV

(19)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

ůǀŝŝŝ

Power Efficient AES Core for IoT Constrained Devices Implemented in 130nm CMOS 6KDG\$JZD^`(VODP<DK\D^`<HKHD,VPDLO^`

^`$PHULFDQ8QLYHUVLW\LQ&DLUR(J\SW^`$PHULFDQ8QLYHUVLW\LQ&DLUR=HZDLO&LW\RI6FLHQFHDQG7HFKQRORJ\

(J\SW^`$PHULFDQ8QLYHUVLW\LQ&DLUR=HZDLO&LW\RI6FLHQFHDQG7HFKQRORJ\%DQKD8QLYHUVLW\(J\SW

:LUHOHVV ,PSODQWDEOH,QMHFWDEOH7HFKQRORJ\&LUFXLWV 6\VWHPV,

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP,;

&KDLUV$QGUHZ 0DVRQ9LUJLOLR 9DOHQWH 8QLYHUVLW\&ROOHJH/RQGRQ

A 3-Coil Simultaneous Power and Uplink Data Transmission Inductive Link for Battery-Less Implantable Devices 0LQ/L'DNH/LX&KHQ*RQJ:DQ4LDR

%HLMLQJ,QVWLWXWHRI7HFKQRORJ\6ZHGHQ%HLMLQJ,QVWLWXWHRI7HFKQRORJ\&KLQD

A Rectifier/AC Shunt Regulator Combo Circuit with Inherent AM Demodulation Front-End for Wireless Powered Implants

(GZDUG/HH

$OIUHG0DQQ)RXQGDWLRQ8QLWHG6WDWHV

A Wireless Neuroprosthetic for Augmenting Perception Through Modulated Electrical Stimulation of Somatosensory Cortex

;LOLQ/LX^`0LOLQ=KDQJ^`;LDRWLH:X^`$QGUHZ5LFKDUGVRQ^`6RO\PDU0DOGRQDGR^`6DP'H/XFFLD^`

<RKDQQHV*KHQERW^`7LPRWK\/XFDV^`-DQ9DQGHU6SLHJHO^`

^`7VLQJKXD8QLYHUVLW\&KLQD^`8QLYHUVLW\RI3HQQV\OYDQLD8QLWHG6WDWHV

A Wireless System for Combined Heart Optogenetics and Electrocardiography Recording

/pRQDUG/*DJQRQ^`*DEULHO*DJQRQ7XUFRWWH^`$XGH3RSHN^`$XUpOLHQ&KDWHOLHU^`0RKDPHG&KDKLQH^`

%HQRLW*RVVHOLQ^`

^`8QLYHUVLWpGH3RLWLHUV)UDQFH^`8QLYHUVLWp/DYDO&DQDGD

A Model Based Approach for Realizing a Safe Wireless Biotelemetry System .HUURQ'XQFDQ5DOSK(WLHQQH&XPPLQJV

-RKQV+RSNLQV8QLYHUVLW\8QLWHG6WDWHV

6LJPD'HOWD&RQYHUWHUV

7LPH0RQGD\0D\

5RRP*UDQG%DOOURRP;

&KDLUV*HRUJH <XDQ +RQJ.RQJ8QLYHUVLW\RI6FLHQFHDQG7HFKQRORJ\-RVH 6LOYD0DUWLQH] 7H[DV$ 0 8QLYHUVLW\

A Class of 1-Bit Multi-Step Look-$KHDGȈ-ǻ0RGXODWRUV

&KDULV%DVHWDV7KDQDVLV2UIDQRV3DXO3HWHU6RWLULDGLV 1DWLRQDO7HFKQLFDO8QLYHUVLW\RI$WKHQV*UHHFH

Passive Loop Filter Assistance for CTSDMs 'ULHV9HUFDHPHU-RKDQ5DPDQ3LHWHU5RPERXWV

*KHQW8QLYHUVLW\%HOJLXP

(20)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

ůŝdž

Current-Mode Multi-3DWK([FHVV/RRS'HOD\&RPSHQVDWLRQIRU*+]6DPSOLQJ&7Ȉǻ$'&V

&KHQPLQJ=KDQJ^`/XFLHQ-%UHHPV^`*HRUJL5DGXORY^`0XKDPPHG%RODWNDOH^`4LORQJ/LX^`+DQV +HJW^`$UWKXU+0YDQ5RHUPXQG^`

^`(LQGKRYHQ8QLYHUVLW\RI7HFKQRORJ\1HWKHUODQGV^`1;36HPLFRQGXFWRUV191HWKHUODQGV

A 3rd Order MASH Switched-&DSDFLWRUȈǻ08VLQJ8OWUD,QFRPSOHWH6ettling Employing an Area Reduction Technique

'DYLG)RXWR^`1XQR3DXOLQR^`

^`8QLYHUVLGDGH1RYDGH/LVERD3RUWXJDO^`8QLYHUVLGDGH1RYDGH/LVERD&7681,129$3RUWXJDO Subtractive Dithering Technique for Delta-Sigma Modulator

=KLFKDR7DQ5REHUWR0DXULQR5REHUW$GDPV.KLHP1JX\HQ

$QDORJ'HYLFHV,QF,WDO\$QDORJ'HYLFHV,QF8QLWHG6WDWHV

&RPPXQLFDWLRQ&LUFXLWVDQG6\VWHPV

7LPH0RQGD\0D\

5RRP/DXUHO$%

&KDLUV=KL\XDQ <DQ /HKLJK8QLYHUVLW\&KULVWRSK 6WXGHU 5LFH8QLYHUVLW\

Spurs-Free Single-Bit-Output All-Digital Frequency Synthesizers with Forward and Feedback Spurs and Noise Cancellation 3DXO3HWHU6RWLULDGLV

1DWLRQDO7HFKQLFDO8QLYHUVLW\RI$WKHQV*UHHFH

An Efficient Parallel Resampling Structure Based on Iterated Short Convolution Algorithm +DR/L^`-LH*XR^`=KLJDQJ:DQJ^`+RXMXQ:DQJ^`

^`8QLYHUVLW\RI(OHFWURQLF6FLHQFHDQG7HFKQRORJ\RI&KLQD&KLQD^`8QLYHUVLW\RI3LWWVEXUJK8QLWHG6WDWHV A Low-Voltage High-Swing Colpitts VCO with Inherent Tapped Capacitors Based Dynamic Body Bias Technique

-XQ&KHQ%HQTLQJ*XR)DGLQJ=KDR<DR:DQJ*XDQJMXQ:HQ 8QLYHUVLW\RI(OHFWURQLF6FLHQFHDQG7HFKQRORJ\RI&KLQD&KLQD Asychnronous Sampling Based Hybrid Equalizer

1DPLN.RFDPDQ^`0LFKDHO*UHHQ^`

^`%URDGFRP/WG8QLWHG6WDWHV^`8QLYHUVLW\RI&DOLIRUQLD ,UYLQH8QLWHG6WDWHV

A High Temperature Variable Gain Amplifier Based on GaN HEMT Devices for Downhole Communications 0RKDPPHG(KWHVKDPXGGLQ-HEUHHO6DOHP'RQJ+D

9LUJLQLD3RO\WHFKQLF,QVWLWXWHDQG6WDWH8QLYHUVLW\8QLWHG6WDWHV

(21)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

ůdž

/RZ3RZHU$UFKLWHFWXUHV

7LPH0RQGD\0D\

5RRP/DXUHO&'

&KDLUV=KL\XDQ <DQ /HKLJK8QLYHUVLW\<XQ &KHQ )XGDQ8QLYHUVLW\

Dark Memory and Accelerator-Rich System Optimization in the Dark Silicon Era

$UGDYDQ3HGUDP^`6WHSKHQ5LFKDUGVRQ^`0DUN+RURZLW]^`6KDKDU.YDWLQVN\^`6DPHK*DODO^`

^`&LWDGHO//&8QLWHG6WDWHV^`6WDQIRUG8QLYHUVLW\8QLWHG6WDWHV^`7HFKQLRQ± ,VUDHO,QVWLWXWHRI7HFKQRORJ\

,VUDHO

Integration of Energy-Recycling Logic and Wireless Power Transfer for Ultra-Low-Power Implantables +VLQ7]X/LQ^`<L&KXQJ:X^`3LQJ+VXDQ+VLHK^`&KLD+VLDQJ<DQJ^`

^`1DWLRQDO&KLDR7XQJ8QLYHUVLW\7DLZDQ^`1DWLRQDO7DLZDQ8QLYHUVLW\7DLZDQ^`1DWLRQDO7VLQJ+XD 8QLYHUVLW\7DLZDQ

Seeking Low-Power Synchronous/Asynchronous Systems: a FIR Implementation Case Study

$OL6NDI^`-HDQ6LPDWLF^`/DXUHQW)HVTXHW^`

^`6\ULDQ3ULYDWH8QLYHUVLW\6\ULD^`8QLYHUVLWp*UHQREOH$OSHV7,0$/DERUDWRU\)UDQFH

Reducing Power, Area, and Delay of Threshold Logic Gates Considering Non-Integer Weights 6H\HG1LPD0R]DIIDUL6S\URV7UDJRXGDV7KHPLVWRNOLV+DQLRWDNLV

6RXWKHUQ,OOLQRLV8QLYHUVLW\&DUERQGDOH8QLWHG6WDWHV

Power-Rail ESD Clamp Circuit with Hybrid-Detection Enhanced Triggering in a 65-nm, 1.2-V CMOS Process

*XDQJ\L/X<XDQ:DQJ<L]H:DQJ;LQJ=KDQJ 3HNLQJ8QLYHUVLW\&KLQD

9LVXDO6LJQDO(QKDQFHPHQW3UHVHQWDWLRQ $QDO\VLV

7LPH0RQGD\0D\

5RRP.HQW$%

&KDLUV&KULV /HH 1DWLRQDO&KHQJ.XQJ8QLYHUVLW\:DQ&KL 6LX +RQJ.RQJ3RO\WHFKQLF8QLYHUVLW\

Image Co-Segmentation via Saliency Co-Fusion

.RWHVZDU5DR-HUULSRWKXOD^`-LDQIHL&DL^`-XQVRQJ<XDQ^`

^`*UDSKLF(UD8QLYHUVLW\,QGLD^`1DQ\DQJ7HFKQRORJLFDO8QLYHUVLW\6LQJDSRUH

Complexity Reduction by Modified Scale-Space Construction in Sift Generation Optimized for a Mobile GPU

&KXOKHH/HH^`+\XN-DH/HH^`&KDH(XQ5KHH^`

^`,QKD8QLYHUVLW\.RUHD6RXWK^`6HRXO1DWLRQDO8QLYHUVLW\.RUHD6RXWK

Low-Lighting Video Enhancement Using Constrained Spatial-Temporal Model for Real-Time Mobile Communication

;LQZHL*DR+DLER'HQJ<DR\DR*XR&KHQFKHQ*X<RQJIDQJ6KL$QOLQ*DR/LFDL*XR;XQDQ0DR-LQJ/Y 7HQFHQW+ROGLQJV/LPLWHG&KLQD

Detection of Abandoned Objects Using Robust Subspace Recovery with Intrinsic Video Alignment /XFDV7KRPD]^`$OODQ'D6LOYD^`(GXDUGR'D6LOYD^`6HUJLR1HWWR^`+DPLG.ULP^`

^`1RUWK&DUROLQD6WDWH8QLYHUVLW\8QLWHG6WDWHV^`8QLYHUVLGDGH)HGHUDOGR5LRGH-DQHLUR%UD]LO

Subpixel Rendering Without Color Distortions for Diamond-Shaped PenTile Displays -DH+DQ/HH.\XQJ5DH.LP&KDQJ6X.LP

.RUHD8QLYHUVLW\.RUHD6RXWK

(22)

TECHNICAL SESSIONS – MONDAY, MAY 29TH

ůdžŝ

8/3&LUFXLWVIRU,PSODQWDEOHV :HDUDEOHV

7LPH0RQGD\0D\

5RRP(VVH[$%

&KDLUV$O\VVD $SVHO &RUQHOO8QLYHUVLW\

A Chopper Capacitively-Coupled Instrumentation Amplifier Capable of Handling Large Electrode Offset for Biopotential Recordings

-LDZHL=KHQJ:LQJ+XQJ.L/DQJ\X+X&KL<LQJ7VXL +RQJ.RQJ8QLYHUVLW\RI6FLHQFHDQG7HFKQRORJ\+RQJ.RQJ

Self-Sustainable Smart Ring for Long Term Monitoring of Blood Oxygenation 3HWDU-RNLF*LRYDQQL$QWRQLR6DOYDWRUH0LFKHOH0DJQR/DUV%WKH*HUKDUG7U|VWHU/XFD%HQLQL

(LGJHQ|VVLVFKH7HFKQLVFKH+RFKVFKXOH=ULFK6ZLW]HUODQG

0.4-to-1-99ROWDJH6FDODEOHǻȈ$'&ZLWK7ZR-Step Hybrid Integrator for IoT Sensor Applications in 65nm LP CMOS -XQ(XQ3DUN<RXQJ+D+ZDQJ'HRJ.\RRQ-HRQJ

6HRXO1DWLRQDO8QLYHUVLW\.RUHD6RXWK

Kinetic AC/DC Converter for Electromagnetic Energy Harvesting in Autonomous Wearable Systems 5RELQ%ROW^`0LFKHOH0DJQR^`7KRPDV%XUJHU^`$OGR5RPDQL^`/XFD%HQLQL^`

^`(LGJHQ|VVLVFKH7HFKQLVFKH+RFKVFKXOH=ULFK6ZLW]HUODQG^`8QLYHUVLWjGL%RORJQD,WDO\

Dual-Band Wireless Power Transfer System Using Circular Defected Ground Structure Resonators for Biomedical Applications

)DLUXV7DKDU$GHO%DUDNDW5HG]XDQ6DDG.XQLDNL<RVKLWRPL5DPHVK3RNKDUHO .\XVKX8QLYHUVLW\-DSDQ

(23)

ůdžŝŝ

FDVVVWXGHQWGHVLJQFRPSHWLWLRQ± PRQGD\PD\

WK

&$666WXGHQW'HVLJQ&RPSHWLWLRQ

7LPH0RQGD\0D\

5RRP$WODQWLF

&KDLUV(GXDUGRGD6LOYD 8QLYHUVLGDGH)HGHUDOGR5LRGH-DQHLUR

INDEPENDENT CLEANING ROBOT USING THE OPEN-HARDWARE PLATFORM ARDUINO 1$

%HDWUL]3RQWHV6LOYD%U\DQ/HLWHGRV6DQWRV(GXDUGR1DVFLPHQWR(PHULFK*DEULHOOD'XDUWH6LOYD6LOYHLUD

*DEULHOOH6LOYDGH$QGUDGH,JRU0HQH]HV6DQWRV,VDEHOOD%DUERVD2OLYHLUDGH0DFHGR,]DEHOH%RQILP%DUERVD -HDQ3DXO5REHUW%DUERVD&HUTXHLUD9LYLDQH&DUGRVR$OYHV

&HQWUR)HGHUDOGH(GXFDomR7HFQROyJLFD&HOVR6XFNRZGD)RQVHFD&()(75-1RYD,JXDoX5-%UD]LO AUTOMATED MINIATURE GREENHOUSE FOR DOMESTIC ORGANIC GARDEN 1$

%HDWUL] 3RQWHV6LOYD%U\DQ/HLWHGRV6DQWRV(GXDUGR1DVFLPHQWR(PHULFK*DEULHOOD'XDUWH6LOYD6LOYHLUD

*DEULHOOH6LOYDGH$QGUDGH,JRU0HQH]HV6DQWRV,VDEHOOD%DUERVD2OLYHLUDGH0DFHGR,]DEHOH%RQILP%DUERUVD 9LYLDQH&DUGRVR$OYHV

&HQWUR)HGHUDOGH(GXFDomR7HFQROyJLFD&HOVR6XFNRZGD)RQVHFD&()(75-1RYD,JXDoX5-%UD]LO A MAN-MACHINE INTERACTION SYSTEM BASED ON EEG, EOG AND MACHINE LEARNING 1$

<XIHL+X^`4LUXL=KDQJ^`;LDR\L6XQ^`%R=KDQJ^`0LQ/L^`<XIDQ=KRX^`

^`6KDQJKDL-LDR7RQJ8QLYHUVLW\6KDQJKDL&KLQD^`6KDQJKDL-LDR7RQJ8QLYHUVLW\6KDQJKDL&KLQD

^`6KDQJKDL-LQ6KDQ+LJK6FKRRO6KDQJKDL&KLQD

SMART PET CLOTHING: GUARDIAN OF HEALTH AND MOOD 1$

<X-LQ/LQ^`<DR7VH&KDQJ^`+DR<XQ/HH^`=KDQ;LDQ/LDR^`<RX5HQ'X^`<L:X+XQJ^`DQG+DR<X 7VDL^`

^`1DWLRQDO&KHQJ.XQJ8QLYHUVLW\7DLQDQ7DLZDQ^`7DLQDQ)LUVW+LJK6FKRRO7DLQDQ7DLZDQ

**CASS Student Design Competition posters/demos will subsequently be on display in the poster hall in Harborside Ballroom during the Tuesday Poster Session from 15:00-16:30.

(24)

ůdžŝŝŝ

‹˜‡‘•–”ƒ–‹‘•Ȃ‘†ƒ›ǡƒ›ʹͻ

–Š

'HPRQVWUDWLRQ6HVVLRQ,

7LPH0RQGD\0D\

5RRP+DUERUVLGH%DOOURRP

&KDLUV-HQQLIHU %ODLQ&KULVWHQ $UL]RQD6WDWH8QLYHUVLW\6KLK&KLL /LX 6ZLVV)HGHUDO,QVWLWXWHRI7HFKQRORJ\LQ

=XULFK

O-1 - Live Demonstration: Photon Counting and Direct ToF Camera Prototype Based on CMOS SPADs ,RQ9RUQLFX5LFDUGR&DUPRQD*DOiQÈQJHO5RGUtJXH]9i]TXH]

&RQVHMR6XSHULRUGH,QYHVWLJDFLRQHV&LHQWtILFDV8QLYHUVLGDGGH6HYLOOD6SDLQ

O-2 - Live Demonstration: a 1600 by 1200, 300 mW, 40 fps Multi-Spectral Imager for Near-Infrared

Fluorescence Image-Guided Surgery 0LVVDHO*DUFLD^`0RKDPHG=D\HG^`.\RXQJ0L3DUN^`9LNWRU*UXHY^`

^`8QLYHUVLW\RI,OOLQRLVDW8UEDQD&KDPSDLJQ8QLWHG6WDWHV^`:DVKLQJWRQ8QLYHUVLW\LQ6W/RXLV8QLWHG6WDWHV O-3 - Live Demonstration: Event-Driven Real-Time Spoken Digit Recognition System

-LWKHQGUD$QXPXOD'DQLHO1HLO;LDR\D/L7REL'HOEUXFN6KLK&KLL/LX

8QLYHUVLWlW=ULFK(LGJHQ|VVLVFKH7HFKQLVFKH+RFKVFKXOH=ULFK6ZLW]HUODQG

O-4 - Live Demonstration: Hardware Implementation of Convolutional STDP for on-Line Visual Feature Learning

$PLUUH]D<RXVHI]DGHK^`7LPRWKHH0DVTXHOLHU^`7HUHVD6HUUDQR*RWDUUHGRQD^`%HUQDEH/LQDUHV%DUUDQFR^`

^`&RQVHMR6XSHULRUGH,QYHVWLJDFLRQHV&LHQWtILFDV8QLYHUVLGDGGH6HYLOOD6SDLQ^`0DVVDFKXVHWWV,QVWLWXWHRI 7HFKQRORJ\)UDQFH

O-5 - Live Demonstration: Multiplexing AER Asynchronous Channels Over LVDS Links with Flow-Control and Clock-Correction for Scalable Neuromorphic Systems

$PLUUH]D<RXVHI]DGHK^`0LURVODY-DEáRĔVNL^`7DUDV,DN\PFKXN^`$OHMDQGUR/LQDUHV%DUUDQFR^`$OIUHGR 5RVDGR^`/XLV3ODQD^`7HUHVD6HUUDQR*RWDUUHGRQD^`6WHYH)XUEHU^`%HUQDEH/LQDUHV%DUUDQFR^`

^`$*+8QLYHUVLW\RI6FLHQFHDQG7HFKQRORJ\3RODQG^`&RQVHMR6XSHULRUGH,QYHVWLJDFLRQHV&LHQWtILFDV 8QLYHUVLGDGGH6HYLOOD6SDLQ^`8QLYHUVLGDGGH6HYLOOD6SDLQ^`8QLYHUVLWDWGH9DOqQFLD6SDLQ^`8QLYHUVLW\RI 0DQFKHVWHU8QLWHG.LQJGRP

O-6 - Live Demonstration: Dynamic Voltage and Frequency Scaling for Neuromorphic Many-Core Systems 6HEDVWLDQ+|SSQHU^`<H[LQ<DQ^`%HUQKDUG9RJJLQJHU^`$QGUHDV'L[LXV^`-RKDQQHV3DUW]VFK^`3UDWHHN -RVKL^`)HOL[1HXPlUNHU^`6WHSKDQ+DUWPDQQ^`6WHIDQ6FKLHIHU^`6WHIDQ6FKRO]H^`*HRUJ(OOJXWK^`/RYH

&HGHUVWURHP^`0DWWKLDV(EHUOHLQ^`&KULVWLDQ0D\U ^`6WHYH7HPSOH ^`/XLV3ODQD ^`-LP*DUVLGH^`6LPRQ 'DYLVRQ ^`'DYLG5/HVWHU ^`6WHYH)XUEHU^`

^`7HFKQLVFKH8QLYHUVLWlW'UHVGHQ*HUPDQ\^`8QLYHUVLW\RI0DQFKHVWHU8QLWHG.LQJGRP

O-7 - Live Demonstration: a 768×640 Pixels 200Meps Dynamic Vision Sensor 0HQJKDQ*XR-LQJ+XDQJ6KRXVKXQ&KHQ

1DQ\DQJ7HFKQRORJLFDO8QLYHUVLW\6LQJDSRUH

O-8 - Live Demonstration: a TiO2 ReRAM Parameter Extraction Method ,RDQQLV0HVVDULV^`6S\ULGRQ1LNRODLGLV^`$OH[DQWURX6HUE^`6S\URV6WDWKRSRXORV^`,VKD*XSWD^`$OL .KLDW^`7KHPLVWRNOLV3URGURPDNLV^`

^`$ULVWRWOH8QLYHUVLW\RI7KHVVDORQLNL*UHHFH^`8QLYHUVLW\RI6RXWKDPSWRQ8QLWHG.LQJGRP

O-9 - Live Demonstration: mNET: a Visually Rich Memristor CrossbarSimulator 5DGX%HUGDQ^`$OH[DQWURX6HUE^`&KULVWRV3DSDYDVVLOLRX^`7KHPLVWRNOLV3URGURPDNLV^`

^`,PSHULDO&ROOHJH/RQGRQ8QLWHG.LQJGRP^`8QLYHUVLW\RI6RXWKDPSWRQ8QLWHG.LQJGRP

O-10 - Live Demonstration: a Pulsar Signal Receiver System for Navigation

(25)

LIVE DEMONSTRATIONS – MONDAY, MAY 29TH

ůdžŝǀ

'LRJR%ULWR-RDR6DQWRV-RUJH)HUQDQGHV*RQoDOR7DYDUHV

8QLYHUVLGDGH7pFQLFDGH/LVERD,QVWLWXWRGH(QJHQKDULDGH6LVWHPDVH&RPSXWDGRUHV ,QYHVWLJDomR3RUWXJDO O-11 - Live Demonstration: FPGA Demonstration of Spiking Support Vector Networks Based on Growth Transform Neurons -RKQ0DFND\$KDQD*DQJRSDGK\D\6KDQWDQX&KDNUDEDUWW\

:DVKLQJWRQ8QLYHUVLW\LQ6W/RXLV8QLWHG6WDWHV

O-12 - Live Demonstration: Feature Extraction System Using Restricted Boltzmann Machines on FPGA .RGDL8H\RVKL^`7DNDR0DUXNDPH^`7HWVX\D$VDL^`0DVDWR0RWRPXUD^`$OH[DQGUH6FKPLG^`

^`eFROH3RO\WHFKQLTXH)pGpUDOH GH/DXVDQQH6ZLW]HUODQG^`+RNNDLGR8QLYHUVLW\-DSDQ^`7RVKLED

&RUSRUDWLRQ-DSDQ

O-13 - Live Demonstration: Convolutional Neural Network Driven by Dynamic Vision Sensor Playing RoShamBo ,XOLD$OH[DQGUD/XQJX)HGHULFR&RUUDGL7REL'HOEUXFN

8QLYHUVLWlW=ULFK(LGJHQ|VVLVFKH7HFKQLVFKH+RFKVFKXOH=ULFK6ZLW]HUODQG

O-14 - Live Demonstration - Multilayer Spiking Neural Network for Audio Samples Classification Using SpiNNaker -XDQ3'RPLQJXH]0RUDOHV$QWRQLR5LRV1DYDUUR'DQLHO*XWLHUUH]*DODQ5LFDUGR7DSLDGRU0RUDOHV$QJHO -LPHQH])HUQDQGH](OHQD&HUH]XHOD(VFXGHUR0DQXHO-'RPLQJXH]0RUDOHV$OHMDQGUR/LQDUHV%DUUDQFR 8QLYHUVLGDGGH6HYLOOD6SDLQ

O-15 - Live Demonstration: a Compact All-CMOS Spatiotemporal Compressed Sensing Video Camera 7DR;LRQJ^`-LH=KDQJ^`&KHWDQ6LQJK7KDNXU^`-RKQ5DWWUD\^`6DQJ&KLQ^`7UDF7UDQ^`5DOSK(WLHQQH

&XPPLQJV^`

^`%RVWRQ8QLYHUVLW\8QLWHG6WDWHV^`-RKQV+RSNLQV8QLYHUVLW\8QLWHG6WDWHV^`0DVVDFKXVHWWV,QVWLWXWHRI 7HFKQRORJ\8QLWHG6WDWHV

O-16 - Live Demonstration: Event-Based Image Processing on CMOS Mihalas-Niebur Neuron Array Transceiver

-DPDO0ROLQ$GHED\R(LVDSH5DOSK(WLHQQH&XPPLQJV -RKQV+RSNLQV8QLYHUVLW\8QLWHG6WDWHV

O-17 - Live Demonstration: FPGA Neural Array Emulation for Real-Time, Event-Based Simultaneous Dewarping and Filtering for Aerial Vehicles

-DPDO0ROLQ-RKQ5DWWUD\5DOSK(WLHQQH&XPPLQJV -RKQV+RSNLQV8QLYHUVLW\8QLWHG6WDWHV

O-18 - Live Demonstration: a Stimulation Platform for Optogenetic and Bionic Vision Restoration

)UDQFHVFR*DOOXSSL^` *XLOODXPH&KHQHJURV^`'LGLHU3UXQHDX^`*LOOHV&RUGXULp^`&KDUOLH*DOOH^`1LFRODV 2GGR^`;DYLHU/DJRUFH^`&KULVWRSK3RVFK^`-RHO&KDYDV^`5\DG%HQRVPDQ^`

^`&KURQRFDP)UDQFH^`*HQVLJKW%LRORJLFV)UDQFH^`8QLYHUVLWp3LHUUHHW0DULH&XULH)UDQFH

(26)

ůdžǀ

3RVWHUVHVVLRQ± PRQGD\PD\

WK

6HQVRU\6\VWHPV

7LPH0RQGD\0D\

5RRP+DUERUVLGH%DOOURRP

&KDLUV3LRWU 'XGHN 7KH8QLYHUVLW\RI0DQFKHVWHU7LPRWK\ &RQVWDQGLQRX ,PSHULDO&ROOHJH/RQGRQ

O-19 - Photon Counting and Direct ToF Camera Prototype Based on CMOS SPADs ,RQ9RUQLFX5LFDUGR&DUPRQD*DOiQÈQJHO5RGUtJXH]9i]TXH]

&RQVHMR6XSHULRUGH,QYHVWLJDFLRQHV&LHQWtILFDV8QLYHUVLGDGGH6HYLOOD6SDLQ

O-20 - Highly Linear Integrate-and-Fire Modulators with Soft Reset for Low-Power High-Speed Imagers 0LFKHOH'HL5RJHU)LJXHUDV-RVHS0DULD0DUJDULW/OXtV7HUpV)UDQFLVFR6HUUD*UDHOOV

&RQVHMR6XSHULRUGH,QYHVWLJDFLRQHV&LHQWtILFDV6SDLQ

O-21 - Color Temporal Contrast Sensitivity in Dynamic Vision Sensors 'LHGHULN3DXO0RH\V^`&KHQJKDQ/L^`-XOLHQ130DUWHO^`6LPHRQ%DPIRUG^`/XFD/RQJLQRWWL^`9DV\O 0RWVQ\L^`'DYLG6DQ6HJXQGR%HOOR^`7REL'HOEUXFN^`

^`,0(&%HOJLXP^`LQL/DEV*PE+6ZLW]HUODQG^`8QLYHUVLWlW=ULFK(LGJHQ|VVLVFKH7HFKQLVFKH+RFKVFKXOH

=ULFK6ZLW]HUODQG

O-22 - Real-Time Trajectory Calculation and Prediction Using Neighborhood-Level Parallel Processing 0DKLU*KDU]DL'LQJ\L+RQJ-RVHSK6FKPLW]0LFKDHO+RIIPDQ6LQD%DONLU

8QLYHUVLW\RI1HEUDVND/LQFROQ8QLWHG6WDWHV

O-23 - Dark Current Reduction by an Adaptive CTIA Photocircuit for Room Temperature SWIR Sensing

$QGUHZ%HUNRYLFK^`$OH[DQGHU&DVWUR^`0RKDPPDG,VODP^`)RZ6HQ&KRD^`*HRIIUH\%DUURZV^`3DPHOD

$EVKLUH^`

^`&HQWH\H,QF8QLWHG6WDWHV^`8QLYHUVLW\RI0DU\ODQG%DOWLPRUH&RXQW\8QLWHG6WDWHV^`8QLYHUVLW\RI 0DU\ODQG&ROOHJH3DUN8QLWHG6WDWHV

O-24 - A Battery-Less, 255 Na Quiescent Current Temperature Sensor with Voltage Regulator Fully

Powered by Harvesting Ambient Vibrational Energy 6KLTXDQ)DQ/LXPLQJ=KDR3HQJ:DQJ5DQ:HL;X4LDQ=KHQJ=HQJKXL:DQJ3KLOLS;/)HQJ

&DVH:HVWHUQ5HVHUYH8QLYHUVLW\8QLWHG6WDWHV

O-25 - A Passively Compensated Capacitive Sensor Readout with Biased Varactor Temperature Compensation and Temperature Coherent Quantization

<RQJ:DQJ^`<DQ+RQJ^`:DQJ/LQJ*RK^`.HYLQ&KDL^`;LQ/RX^`:HQELQ<H^`

^`$JHQF\IRU6FLHQFH7HFKQRORJ\DQG5HVHDUFK1DQ\DQJ7HFKQRORJLFDO8QLYHUVLW\6LQJDSRUH^`1DQ\DQJ 7HFKQRORJLFDO8QLYHUVLW\6LQJDSRUH^`6KDQJKDL7HFK8QLYHUVLW\&KLQD^`6KHQ]KHQ8QLYHUVLW\&KLQD O-26 - Optimum Synchronous Phase Detection and its Application in Smart Sensor Interfaces 6LQLQJ3DQ.RIL0DNLQZD

7HFKQLVFKH8QLYHUVLWHLW'HOIW1HWKHUODQGV

(27)

POSTER SESSION – MONDAY, MAY 29TH

ůdžǀŝ

%LRPHGLFDO6LJQDO3URFHVVLQJ

7LPH0RQGD\0D\

5RRP+DUERUVLGH%DOOURRP

&KDLUV1LWLVK 7KDNRU -RKQV+RSNLQV8QLYHUVLW\7LPRWK\ &RQVWDQGLQRX ,PSHULDO&ROOHJH/RQGRQ

P-27 - Motion Artifact Reduction from PPG Signals During Intense Exercise Using Filtered X-LMS .KDZDMD7DLPRRU7DQZHHU^`6\HG5DID\+DVDQ^`$ZDLV0HKPRRG.DPERK^`

^`1DWLRQDO8QLYHUVLW\RI6FLHQFHVDQG7HFKQRORJ\3DNLVWDQ^`7HQQHVVHH7HFKQRORJLFDO8QLYHUVLW\8QLWHG 6WDWHV

P-28 - An Accurate Method for Fourier Synthesis of Photoplethysmographic Signals 6DPDQ$EH\VHNHUD

1DQ\DQJ7HFKQRORJLFDO8QLYHUVLW\6LQJDSRUH

P-29 - An Optical Tracker Based Registration Method Using Feedback for Robot-Assisted Insertion Surgeries

=KXR/L;LQJWRQJ/LX;LDQJ;LH*XROLQ/L6RQJSLQJ0DL=KLKXD:DQJ 7VLQJKXD8QLYHUVLW\&KLQD

P-30 - Palmprint Recognition Using Deep Scattering Network 6KHUYLQ0LQDHH<DR:DQJ

1HZ<RUN8QLYHUVLW\8QLWHG6WDWHV

P-31 - On-Chip ID Generation for Multi-Node Implantable Devices Using SA-PUF

&KDQJ*DR6DUD*KRUHLVKL]DGHK<DQ/LX7LPRWK\&RQVWDQGLQRX ,PSHULDO&ROOHJH/RQGRQ8QLWHG.LQJGRP

P-32 - An Aided Information to Characterize ECG Signals as Normal or Abnormal .UXSD%KDYVDU+HQ*HXO<HK3HUOD$\DOD

&DOLIRUQLD6WDWH8QLYHUVLW\/RQJ%HDFK8QLWHG6WDWHV

P-33 - An Accurate Automatic System for Distinguishing Neuropathy and Healthy Electromyography Signals

6DOLP/DKPLUL^`0RXQLU%RXNDGRXP^`

^`eFROHGH7HFKQRORJLH6XSpULHXUH&DQDGD^`8QLYHUVLWpGX4XpEHFj0RQWUpDO&DQDGD

P-34 - Real-Time Clustering Algorithm That Adapts to Dynamic Changes in Neural Recordings 6\OPDULH'iYLOD0RQWHUR^`'HUHQ%DUVDNFLRJOX^`$QGUHZ-DFNVRQ^`7LPRWK\&RQVWDQGLQRX^`$QGUHZ- 0DVRQ^`

^`,PSHULDO&ROOHJH/RQGRQ8QLWHG.LQJGRP^`0LFKLJDQ6WDWH8QLYHUVLW\8QLWHG6WDWHV^`8QLYHUVLW\RI 1HZFDVWOH8QLWHG.LQJGRP

P-35 - Receiver Echo Cancellation with Real-Time Self Calibration for Passive Implanted Neuron Recorders 0DU\DP6KDILHH6XOH2]HY

$UL]RQD6WDWH8QLYHUVLW\8QLWHG6WDWHV

(28)

POSTER SESSION – MONDAY, MAY 29TH

ůdžǀŝŝ

P-36 - 32-Channel Ultra-Low-Noise Arbitrary Signal Generation Platform for Biopotential Emulation 'RULDQ+DFL<DQ/LX7LPRWK\&RQVWDQGLQRX

,PSHULDO&ROOHJH/RQGRQ8QLWHG.LQJGRP

2SWLPL]DWLRQDQG0DQXIDFWXUDELOLW\

7LPH0RQGD\0D\

5RRP+DUERUVLGH%DOOURRP

&KDLUV0HQJ)DQ &KDQJ 1DWLRQDO7VLQJ+XD8QLYHUVLW\*HKP 0RUDHV 3RQWLILFDO&DWKROLF8QLYHUVLW\RI5LR

*UDQGHGR6XO

Q-37 - Efficient SVM-Based Hotspot Detection Using Spectral Clustering )DQ<DQJ^`&KDUOHV&&KLDQJ^`;XDQ =HQJ^`'LDQ=KRX^`

^`)XGDQ8QLYHUVLW\&KLQD^`6<1236<6,QGLD3YW/WG8QLWHG6WDWHV

Q-38 - Non-Linear Library Characterization Method for FinFET Logic Cells by L1-Minimization

%\XQJ6X.LP^`+\R6LJ:RQ^`7DH+HH+DQ^`-RRQ6XQJ<DQJ^`

^`6DPVXQJ(OHFWURQLFV.RUHD6RXWK^`6DPVXQJ(OHFWURQLFV6XQJN\XQNZDQ8QLYHUVLW\.RUHD6RXWK

^`6XQJN\XQNZDQ8QLYHUVLW\.RUHD6RXWK

Q-39 -$*ULG%DVHG'HWDLOHG5RXWLQJ$OJRULWKPIRU$GYDQFHG'3URFHVV

<H=KDQJ^`)DQ<DQJ^`'LDQ=KRX^,`;XDQ=HQJ^` DQG;LDQJGRQJ+X^` ^`6WDWH.H\/DERI$6,&

6\VWHP6FKRRORI0LFURHOHFWURQLFV)XGDQ8QLYHUVLW\&KLQD^`6KDQJKDL+LJK3HUIRUPDQFH,QWHJUDWHG&LUFXLW 'HVLJQ&HQWHU&KLQD^`8QLYHUVLW\RI7H[DVDW'DOODV86$

Q-40 - Design of a Digital IP for 3D-IC Die-to-Die Clock Synchronization 0HKGL6DGL^`6XNHVKZDU.DQQDQ^`/XNH(QJODQG^`0DUN7HKUDQLSRRU^`

^`*/2%$/)281'5,(686,QF8QLWHG6WDWHV^`8QLYHUVLW\RI)ORULGD8QLWHG6WDWHV Q-41 - A Survey of Path Search Algorithms for VLSI Detailed Routing

6WqSKDQR*RQoDOYHV/HRPDU'D5RVD-U)HOLSH0DUTXHV 8QLYHUVLGDGH)HGHUDOGH3HORWDV%UD]LO

Q-42 - Power-Efficient, Gate-Based Digital-to-Time Converter in CMOS

‘\VWHLQ%M¡UQGDO7RU6YHUUH/DQGH 8QLYHUVLW\RI2VOR1RUZD\

Q-43 - Impacts of Different Shapes of Through-Silicon-Via Core on 3D IC Performance

$EGXO+DPLG<RXVXI1DKLG+RVVDLQ0DVXG&KRZGKXU\

8QLYHUVLW\RI0LVVRXUL±.DQVDV&LW\8QLWHG6WDWHV

Q-44 - Stability of Rotary Traveling Wave Oscillators Under Process Variations and NBTI 5DJK.XWWDSSD/HR)LOLSSLQL6FRWW/HUQHU%DULV7DVNLQ

'UH[HO8QLYHUVLW\8QLWHG6WDWHV

Q-45 - A Multi-Measurements RO-TDC Implemented in a Xilinx Field Programmable Gate Array 6DID%HUULPD^`<YHV%ODTXLqUH^` <YRQ6DYDULD^`

^`eFROHGH7HFKQRORJLH6XSpULHXUH&DQDGD^`3RO\WHFKQLTXH0RQWUpDO&DQDGD

Q-46 - On the Use of Approximate Adders in Carry-Save Multiplier-Accumulators 'DUMQ(VSRVLWR'DYLGH'H&DUR(WWRUH1DSROL1LFROD3HWUD$QWRQLR6WUROOR

8QLYHUVLWjGHJOL6WXGLGL1DSROL)HGHULFR,,,WDO\

Q-47 - A Framework to Automatically Generate Heterogeneous Organization Reconfigurable Multiprocessing

-RVLPDU6IUHGGR^`5DIDHO)mRGH0RXUD^`0LFKDHO*XLOKHUPH-RUGDQ^`-HFNVRQ6RX]D^`$QWRQLR&DUORV 6FKQHLGHU%HFN^`0DWHXV%HFN5XW]LJ^`

Hivatkozások

KAPCSOLÓDÓ DOKUMENTUMOK

In contrast to this the a thousand years ago literate people of Europe could learn about the origin of the newcomer people, Hungarians from vague rumors preserved in chronicles

[r]

[r]

WBD'DBBDA$ N(&lt;71B0D3$ '(B$ )0&amp;07K$ (B$ U(B407KP$ )RU VWXGHQWV ZLWK LQWHUQDWLRQDO PRELOLW\ LQWHQWLRQV 6ZLW]HUODQGZDVWKHPRVWSRSXODUFKRLFH IROORZHG E\ 86$ $XVWULD

[r]

cB)]B)WB)LX'$&#34;#)&#34;#)8&#34;#$5'1'#)&amp;/R5/5&#34;&gt;3'1.7,/#)X6&amp;/#6)U65);',$&lt;&amp;/#/86)X%3F),@#&amp;&#34;,2)&#34;#)

A többes szám eszközhatározó eset vagy önmagában fejezi ki az ismétlődést, vagy más, kötelezően hozzá kapcsolandó, tulajdonságot jelölő szavakkal együtt. •

Ezek szcrént István királynak bár mi- képen veje vagy sógora leven Dob oka 5 bizo- nyos hogy királyi egybekôttetésénél fogva ezen vármegye' fejévé tévén István király öt